From 4c42ec60b41ee24094d42dc6d05f22f9070327e6 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Fri, 17 Sep 2021 07:55:49 +0200 Subject: testsuite/gna: add a test for #874 --- testsuite/gna/issue874/crash.vhdl | 28 ++++++++++++++++++++++++++++ 1 file changed, 28 insertions(+) create mode 100644 testsuite/gna/issue874/crash.vhdl (limited to 'testsuite/gna/issue874/crash.vhdl') diff --git a/testsuite/gna/issue874/crash.vhdl b/testsuite/gna/issue874/crash.vhdl new file mode 100644 index 000000000..24ae9ee34 --- /dev/null +++ b/testsuite/gna/issue874/crash.vhdl @@ -0,0 +1,28 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.math_real.all; + +entity testbench is + generic( + c_clock_mhz :real := 66.0 + ); +end entity; + +architecture rtl of testbench is + constant c_ns_clock :real := (1.0/c_clock_mhz)*1000.0; + constant c_ns_write :real := 10.0; + constant c_ns_read :real := 25.0; + +begin + +-- synthesis translate_off +process +begin + report "sram_ctrl2 c_ns_clock :" & to_string(c_ns_clock, "%0.2f"); + report "sram_ctrl2 c_ns_write :" & to_string(c_ns_write, "%0.2f"); + report "sram_ctrl2 c_ns_read :" & to_string(c_ns_read, "%0.2f"); + wait; +end process; +-- synthesis translate_on + +end architecture; -- cgit v1.2.3