From 4341334801df81f31bcca25ab4840be2f8c95c22 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Tue, 7 Sep 2021 21:15:44 +0200 Subject: testsuite/gna: add a test for #795 --- testsuite/gna/issue795/tb_ex.vhdl | 30 ++++++++++++++++++++++++++++++ 1 file changed, 30 insertions(+) create mode 100644 testsuite/gna/issue795/tb_ex.vhdl (limited to 'testsuite/gna/issue795/tb_ex.vhdl') diff --git a/testsuite/gna/issue795/tb_ex.vhdl b/testsuite/gna/issue795/tb_ex.vhdl new file mode 100644 index 000000000..2e0f694c7 --- /dev/null +++ b/testsuite/gna/issue795/tb_ex.vhdl @@ -0,0 +1,30 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +use work.all; +entity tbCrashExample2 is + generic ( + vectorFilename : string + ); +end entity tbCrashExample2; + +architecture BEHAVORIAL of tbCrashExample2 is + --! General Simulation variables/signals + signal fVctrEnd : boolean; --! Flag from vector reader to indicate file end + signal vctrCmt : string(1 to 256); --! Current vector line comment + signal syncPulse : std_logic; --! Main Sync Signal + signal syncCount : integer; --! Sync count + signal val1 : std_logic_vector(15 downto 0); + signal val2 : std_logic_vector(15 downto 0); + signal val3 : std_logic_vector(15 downto 0); +begin + cmp_TestVector : entity crashExample(BEHAVIORAL) + port map ( vctrFlNm => vectorFilename, + fEnd => fVctrEnd, + syncPulse => syncPulse, + syncCount => syncCount, + v1 => val1, + v2 => val2, + v3 => val3, + vctrCmt => vctrCmt); +end BEHAVORIAL; -- cgit v1.2.3