From 9e4ec135ab7bfec367acbfd6e7c742b7f1d9b1ea Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Mon, 27 Nov 2017 21:10:49 +0100 Subject: Add reproducer for #467 --- testsuite/gna/issue467/testbench.vhdl | 21 +++++++++++++++++++++ 1 file changed, 21 insertions(+) create mode 100644 testsuite/gna/issue467/testbench.vhdl (limited to 'testsuite/gna/issue467/testbench.vhdl') diff --git a/testsuite/gna/issue467/testbench.vhdl b/testsuite/gna/issue467/testbench.vhdl new file mode 100644 index 000000000..957b733dc --- /dev/null +++ b/testsuite/gna/issue467/testbench.vhdl @@ -0,0 +1,21 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +use work.AbstractMmPkg.all; + +entity testbench is +end entity testbench; + +architecture TB of testbench is + + signal rec : AbstractMmRecType( + writedata(31 downto 0), + readdata(31 downto 0), + address(4 downto 0), + byteen(3 downto 0) + ); + +begin + +end architecture TB; -- cgit v1.2.3