From fe8a650f08a4e091a04f063bc1a4f18928a35c9d Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Tue, 30 Jan 2018 18:13:03 +0100 Subject: Add testcase for #459 --- testsuite/gna/issue459/e3.vhdl | 13 +++++++++++++ 1 file changed, 13 insertions(+) create mode 100644 testsuite/gna/issue459/e3.vhdl (limited to 'testsuite/gna/issue459/e3.vhdl') diff --git a/testsuite/gna/issue459/e3.vhdl b/testsuite/gna/issue459/e3.vhdl new file mode 100644 index 000000000..3ae23fe54 --- /dev/null +++ b/testsuite/gna/issue459/e3.vhdl @@ -0,0 +1,13 @@ +entity E3 is +end entity; + +architecture A of E3 is + -- array with unconstrained array element type + type A is array(natural range <>) of bit_vector; + + -- partially constrained array -> constrained outer array (vector) + subtype P2 is A(15 downto 0)(open); + + signal S2 : P2(open)(7 downto 0); -- finally constraining the element size line 14 +begin +end architecture; -- cgit v1.2.3