From 4e2a152374d2dabdbb46962669a497424d00e52e Mon Sep 17 00:00:00 2001
From: Tristan Gingold <tgingold@free.fr>
Date: Sat, 11 Mar 2017 07:24:00 +0100
Subject: Add testcase for #312

---
 testsuite/gna/issue312/test.vhdl | 19 +++++++++++++++++++
 1 file changed, 19 insertions(+)
 create mode 100644 testsuite/gna/issue312/test.vhdl

(limited to 'testsuite/gna/issue312/test.vhdl')

diff --git a/testsuite/gna/issue312/test.vhdl b/testsuite/gna/issue312/test.vhdl
new file mode 100644
index 000000000..2fca37258
--- /dev/null
+++ b/testsuite/gna/issue312/test.vhdl
@@ -0,0 +1,19 @@
+package pkg is
+  generic (
+    type T
+  );
+end package;
+
+
+use work.pkg.all;
+
+entity test is
+end entity;
+
+architecture tb of test is
+  package p is new package pkg
+    generic map (
+      T => integer
+    );
+begin
+end architecture;
-- 
cgit v1.2.3