From 5ba7861a7d065b1feb90de890ce34e837cb12fdf Mon Sep 17 00:00:00 2001
From: Tristan Gingold <tgingold@free.fr>
Date: Fri, 9 Jun 2017 06:27:13 +0200
Subject: Add (disabled) testcase for #283

---
 testsuite/gna/issue283/uut.vhd | 30 ++++++++++++++++++++++++++++++
 1 file changed, 30 insertions(+)
 create mode 100644 testsuite/gna/issue283/uut.vhd

(limited to 'testsuite/gna/issue283/uut.vhd')

diff --git a/testsuite/gna/issue283/uut.vhd b/testsuite/gna/issue283/uut.vhd
new file mode 100644
index 000000000..ad3713dd8
--- /dev/null
+++ b/testsuite/gna/issue283/uut.vhd
@@ -0,0 +1,30 @@
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use ieee.numeric_std.all;
+
+entity foo is
+  port (
+    a : std_logic;
+    b : std_logic_vector(7 downto 0)
+  );
+end entity foo;
+
+architecture RTL of foo is
+
+  signal s_test : std_logic_vector(3 downto 0) := "1111";
+
+begin
+
+  cmp_bar: entity work.bar
+    port map(
+      a => a
+    );
+    
+  gen_bars: for i in 0 to 1 generate
+    cmp_generated_bar: entity work.bar
+      port map(
+        a => a
+      );
+  end generate gen_bars;
+
+end architecture;
-- 
cgit v1.2.3