From 2d3991ff1b325d556ac922c679ffaf39bcdb6815 Mon Sep 17 00:00:00 2001
From: Tristan Gingold <tgingold@free.fr>
Date: Wed, 7 Apr 2021 21:37:10 +0200
Subject: testsuite/gna: add a test for #1715

---
 testsuite/gna/issue1715/mwe-repro.vhdl | 25 +++++++++++++++++++++++++
 1 file changed, 25 insertions(+)
 create mode 100644 testsuite/gna/issue1715/mwe-repro.vhdl

(limited to 'testsuite/gna/issue1715/mwe-repro.vhdl')

diff --git a/testsuite/gna/issue1715/mwe-repro.vhdl b/testsuite/gna/issue1715/mwe-repro.vhdl
new file mode 100644
index 000000000..5845538c4
--- /dev/null
+++ b/testsuite/gna/issue1715/mwe-repro.vhdl
@@ -0,0 +1,25 @@
+entity comp1 is
+  port (
+    a_i  : in bit_vector(3 downto 0)
+  );
+end entity;
+
+architecture arch of comp1 is
+begin
+end arch;
+
+entity mwe is
+end entity;
+
+architecture arch of mwe is
+  signal clk : bit := '0';
+  signal a   : bit_vector(3 downto 0);
+begin
+  process
+  begin
+    wait until clk'stable;
+  end process;
+
+  x_comp1 : entity work.comp1
+    port map (a_i  => a or a);
+end arch;
-- 
cgit v1.2.3