From d2b3379a27cf86cbff068c42d7848a08343c57b6 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Tue, 9 Jun 2020 21:10:28 +0200 Subject: testsuite/gna: add a test for #1362 --- testsuite/gna/issue1362/testsuite.sh | 9 +++++++++ 1 file changed, 9 insertions(+) create mode 100755 testsuite/gna/issue1362/testsuite.sh (limited to 'testsuite/gna/issue1362/testsuite.sh') diff --git a/testsuite/gna/issue1362/testsuite.sh b/testsuite/gna/issue1362/testsuite.sh new file mode 100755 index 000000000..a02c58206 --- /dev/null +++ b/testsuite/gna/issue1362/testsuite.sh @@ -0,0 +1,9 @@ +#! /bin/sh + +. ../../testenv.sh + +$GHDL -c --work=liba liba.vhdl --work=libb libb.vhdl --work=work ent.vhdl -e ent + +clean + +echo "Test successful" -- cgit v1.2.3