From dc7c0850feb2f8200ce552601ebd467cd0a99b9f Mon Sep 17 00:00:00 2001 From: Tristan Gingold <tgingold@free.fr> Date: Sun, 2 Feb 2020 15:45:10 +0100 Subject: testsuite/gna: add test for #1120 --- testsuite/gna/issue1120/repro2.vhdl | 19 +++++++++++++++++++ 1 file changed, 19 insertions(+) create mode 100644 testsuite/gna/issue1120/repro2.vhdl (limited to 'testsuite/gna/issue1120/repro2.vhdl') diff --git a/testsuite/gna/issue1120/repro2.vhdl b/testsuite/gna/issue1120/repro2.vhdl new file mode 100644 index 000000000..915b66ba4 --- /dev/null +++ b/testsuite/gna/issue1120/repro2.vhdl @@ -0,0 +1,19 @@ +entity repro2 is + generic( + BITS : positive := 4); + port( + min : in bit_vector(BITS - 1 downto 0) := "1010"); +end entity; + +architecture rtl of repro2 is +begin + process + variable sum : bit_vector(BITS - 2 downto 0); + variable carry : bit; + begin + (carry, sum) := min; + assert carry = '1'; + assert sum = "010"; + wait; + end process; +end architecture; -- cgit v1.2.3