From 9ba1b51a8e8d55f01f6c71dbe381b79c73443ea8 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sun, 29 Dec 2013 03:50:41 +0100 Subject: Add bug20703 --- testsuite/gna/bug20703/t.vhdl | 10 ++++++++++ testsuite/gna/bug20703/testsuite.sh | 10 ++++++++++ 2 files changed, 20 insertions(+) create mode 100644 testsuite/gna/bug20703/t.vhdl create mode 100755 testsuite/gna/bug20703/testsuite.sh (limited to 'testsuite/gna/bug20703') diff --git a/testsuite/gna/bug20703/t.vhdl b/testsuite/gna/bug20703/t.vhdl new file mode 100644 index 000000000..6b6c34b63 --- /dev/null +++ b/testsuite/gna/bug20703/t.vhdl @@ -0,0 +1,10 @@ +entity t is end t; + +architecture behav of t is +begin + process + begin + report "val = " & ""; + wait; + end process; +end; diff --git a/testsuite/gna/bug20703/testsuite.sh b/testsuite/gna/bug20703/testsuite.sh new file mode 100755 index 000000000..99051f347 --- /dev/null +++ b/testsuite/gna/bug20703/testsuite.sh @@ -0,0 +1,10 @@ +#! /bin/sh + +. ../../testenv.sh + +analyze t.vhdl +elab_simulate t + +clean + +echo "Test successful" -- cgit v1.2.3