From 37192248646ce7b4688f105877449c640e5039ce Mon Sep 17 00:00:00 2001
From: Tristan Gingold <tgingold@free.fr>
Date: Tue, 15 Mar 2016 20:28:56 +0100
Subject: Add bug040 testcase.

---
 testsuite/gna/bug040/cmp_793.vhd | 26 ++++++++++++++++++++++++++
 1 file changed, 26 insertions(+)
 create mode 100644 testsuite/gna/bug040/cmp_793.vhd

(limited to 'testsuite/gna/bug040/cmp_793.vhd')

diff --git a/testsuite/gna/bug040/cmp_793.vhd b/testsuite/gna/bug040/cmp_793.vhd
new file mode 100644
index 000000000..74d51d9d0
--- /dev/null
+++ b/testsuite/gna/bug040/cmp_793.vhd
@@ -0,0 +1,26 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity cmp_793 is
+	port (
+		eq : out std_logic;
+		in1 : in  std_logic_vector(31 downto 0);
+		in0 : in  std_logic_vector(31 downto 0)
+	);
+end cmp_793;
+
+architecture augh of cmp_793 is
+
+	signal tmp : std_logic;
+
+begin
+
+	-- Compute the result
+	tmp <=
+		'0' when in1 /= in0 else
+		'1';
+
+	-- Set the outputs
+	eq <= tmp;
+
+end architecture;
-- 
cgit v1.2.3