From 955e964b024de556c4c0db8fd745c6abdb8052fe Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Tue, 5 Jan 2016 06:47:12 +0100 Subject: Add bug034 testcase. --- testsuite/gna/bug034/repro.vhdl | 20 ++++++++++++++++++++ testsuite/gna/bug034/testsuite.sh | 10 ++++++++++ 2 files changed, 30 insertions(+) create mode 100644 testsuite/gna/bug034/repro.vhdl create mode 100755 testsuite/gna/bug034/testsuite.sh (limited to 'testsuite/gna/bug034') diff --git a/testsuite/gna/bug034/repro.vhdl b/testsuite/gna/bug034/repro.vhdl new file mode 100644 index 000000000..e4401d390 --- /dev/null +++ b/testsuite/gna/bug034/repro.vhdl @@ -0,0 +1,20 @@ +ENTITY ent IS +END ent; + +ARCHITECTURE arch OF ent IS + function to_lower (c : character) return character is + begin + if c >= 'A' and c <= 'Z' then + return character'val (character'pos (c) + 32); + else + return c; + end if; + end to_lower; + +BEGIN + TESTING: PROCESS + BEGIN + assert to_lower('F') = 'f'; + wait; + END PROCESS TESTING; +END arch; diff --git a/testsuite/gna/bug034/testsuite.sh b/testsuite/gna/bug034/testsuite.sh new file mode 100755 index 000000000..522256f2b --- /dev/null +++ b/testsuite/gna/bug034/testsuite.sh @@ -0,0 +1,10 @@ +#! /bin/sh + +. ../../testenv.sh + +analyze repro.vhdl +elab_simulate ent; + +clean + +echo "Test successful" -- cgit v1.2.3