From 262195377f9fa9d63ad884c49c557d6ad004c5bc Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Fri, 6 Mar 2020 20:36:06 +0100 Subject: testsuite/gna: add a test for previous commit. --- testsuite/gna/bug0100/bitstr.vhdl | 16 ++++++++++++++++ 1 file changed, 16 insertions(+) create mode 100644 testsuite/gna/bug0100/bitstr.vhdl (limited to 'testsuite/gna/bug0100/bitstr.vhdl') diff --git a/testsuite/gna/bug0100/bitstr.vhdl b/testsuite/gna/bug0100/bitstr.vhdl new file mode 100644 index 000000000..e256db159 --- /dev/null +++ b/testsuite/gna/bug0100/bitstr.vhdl @@ -0,0 +1,16 @@ +entity bitstr is + port (a : bit_vector (7 downto 0); + o : out bit); +end bitstr; + +architecture behav of bitstr is +begin + process (a) + begin + if a = bx"00" then + o <= '1'; + else + o <= '0'; + end if; + end process; +end behav; -- cgit v1.2.3