From 7f2f37cc6865172f45645f0a2f2da1417f7c8e68 Mon Sep 17 00:00:00 2001 From: Patrick Lehmann Date: Sat, 16 Jan 2021 09:37:17 +0100 Subject: Fixed usage of config.sh. --- libraries/vendors/compile-altera.sh | 32 +++++++++++++++------------ libraries/vendors/compile-intel.sh | 32 +++++++++++++++------------ libraries/vendors/compile-lattice.sh | 30 ++++++++++++++----------- libraries/vendors/compile-osvvm.sh | 4 ++-- libraries/vendors/compile-uvvm.sh | 4 ++-- libraries/vendors/compile-xilinx-ise.sh | 35 ++++++++++++++++-------------- libraries/vendors/compile-xilinx-vivado.sh | 33 +++++++++++++++------------- libraries/vendors/config.sh | 10 ++++----- libraries/vendors/shared.sh | 4 ++-- 9 files changed, 101 insertions(+), 83 deletions(-) (limited to 'libraries') diff --git a/libraries/vendors/compile-altera.sh b/libraries/vendors/compile-altera.sh index 517d48856..227e67d8e 100755 --- a/libraries/vendors/compile-altera.sh +++ b/libraries/vendors/compile-altera.sh @@ -62,7 +62,7 @@ HALT_ON_ERROR=0 VHDLStandard=93 DestDir="" SrcDir="" -while [[ $# > 0 ]]; do +while [[ $# -gt 0 ]]; do case "$1" in -c|--clean) COMMAND=3 @@ -188,7 +188,7 @@ if [[ $COMMAND -le 1 ]]; then echo "Verbosity:" echo " -v --verbose Print verbose messages." echo " -d --debug Print debug messages." -# echo " -n --no-filter Disable output filtering scripts." + echo " -n --no-filter Disable output filtering scripts." echo " -N --no-warnings Suppress all warnings. Show only error messages." echo "" exit $COMMAND @@ -203,21 +203,31 @@ if [[ $COMMAND -eq 2 ]]; then COMPILE_NM=1 fi + +# Source configuration file from GHDL's 'vendors' library directory +echo -e "${ANSI_MAGENTA}Loading environment...${ANSI_NOCOLOR}" +source $ScriptDir/config.sh +if [[ $? -ne 0 ]]; then echo 1>&2 -e "${COLORED_ERROR} While loading configuration.${ANSI_NOCOLOR}" ; exit 1; fi +source $ScriptDir/shared.sh +if [[ $? -ne 0 ]]; then echo 1>&2 -e "${COLORED_ERROR} While loading further procedures.${ANSI_NOCOLOR}"; exit 1; fi + +# Warn that some files might not be VHDL-2008 ready. Thus enabled continue on error. if [[ $VHDLStandard -eq 2008 ]]; then echo -e "${ANSI_RED}Not all Altera packages are VHDL-2008 compatible! Setting CONTINUE_ON_ERROR to TRUE.${ANSI_NOCOLOR}" CONTINUE_ON_ERROR=1 fi -DefaultDirectories=("/opt/Altera" "/opt/altera") +# Search Altera Quartus in default installation locations +DefaultDirectories=("/opt/Altera" "/opt/altera" "/c/Altera") if [ ! -z $QUARTUS_ROOTDIR ]; then - EnvSourceDir=$QUARTUS_ROOTDIR/${SourceDirectories[AlteraQuartus]} + EnvSourceDir=$QUARTUS_ROOTDIR/${Altera_Quartus_Settings[SourceDirectory]} else - for DefaultDir in ${DefaultDirectories[@]}; do + for DefaultDir in "${DefaultDirectories[@]}"; do for Major in 16 15 14 13; do for Minor in 1 0; do Dir=$DefaultDir/${Major}.${Minor}/quartus if [ -d $Dir ]; then - EnvSourceDir=$Dir/${SourceDirectories[AlteraQuartus]} + EnvSourceDir=$Dir/${Altera_Quartus_Settings[SourceDirectory]} break 3 fi done @@ -225,12 +235,6 @@ else done fi -# Source configuration file from GHDL's 'vendors' library directory -echo -e "${ANSI_MAGENTA}Loading environment...${ANSI_NOCOLOR}" -source $ScriptDir/config.sh -if [[ $? -ne 0 ]]; then echo 1>&2 -e "${COLORED_ERROR} While loading configuration.${ANSI_NOCOLOR}" ; exit 1; fi -source $ScriptDir/shared.sh -if [[ $? -ne 0 ]]; then echo 1>&2 -e "${COLORED_ERROR} While loading further procedures.${ANSI_NOCOLOR}"; exit 1; fi # <= $VHDLVersion # <= $VHDLStandard @@ -243,7 +247,7 @@ GHDLSetup $VHDLStandard # -> $DestDir # <= $SourceDirectory # <= $DestinationDirectory -SetupDirectories AlteraQuartus "Altera Quartus" +SetupDirectories Altera_Quartus "Altera Quartus" # create "osvvm" directory and change to it # => $DestinationDirectory @@ -251,7 +255,7 @@ CreateDestinationDirectory cd $DestinationDirectory -# Extend global GHDL Options +# Extend global GHDL Options TODO: move to GHDLSetup Analyze_Parameters+=( -fexplicit -Wbinding diff --git a/libraries/vendors/compile-intel.sh b/libraries/vendors/compile-intel.sh index b5f4ba3f6..d9e0721fd 100755 --- a/libraries/vendors/compile-intel.sh +++ b/libraries/vendors/compile-intel.sh @@ -62,7 +62,7 @@ HALT_ON_ERROR=0 VHDLStandard=93 DestDir="" SrcDir="" -while [[ $# > 0 ]]; do +while [[ $# -gt 0 ]]; do case "$1" in -c|--clean) COMMAND=3 @@ -188,7 +188,7 @@ if [[ $COMMAND -le 1 ]]; then echo "Verbosity:" echo " -v --verbose Print verbose messages." echo " -d --debug Print debug messages." -# echo " -n --no-filter Disable output filtering scripts." + echo " -n --no-filter Disable output filtering scripts." echo " -N --no-warnings Suppress all warnings. Show only error messages." echo "" exit $COMMAND @@ -203,21 +203,31 @@ if [[ $COMMAND -eq 2 ]]; then COMPILE_NM=1 fi + +# Source configuration file from GHDL's 'vendors' library directory +echo -e "${ANSI_MAGENTA}Loading environment...${ANSI_NOCOLOR}" +source $ScriptDir/config.sh +if [[ $? -ne 0 ]]; then echo 1>&2 -e "${COLORED_ERROR} While loading configuration.${ANSI_NOCOLOR}" ; exit 1; fi +source $ScriptDir/shared.sh +if [[ $? -ne 0 ]]; then echo 1>&2 -e "${COLORED_ERROR} While loading further procedures.${ANSI_NOCOLOR}"; exit 1; fi + +# Warn that some files might not be VHDL-2008 ready. Thus enabled continue on error. if [[ $VHDLStandard -eq 2008 ]]; then echo -e "${ANSI_RED}Not all Altera packages are VHDL-2008 compatible! Setting CONTINUE_ON_ERROR to TRUE.${ANSI_NOCOLOR}" CONTINUE_ON_ERROR=1 fi -DefaultDirectories=("/opt/IntelFPGA" "/opt/intelfpga" "/opt/Intel" "/opt/intel" "/opt/Altera" "/opt/altera") +# Search Intel Quartus in default installation locations +DefaultDirectories=("/opt/IntelFPGA" "/opt/intelfpga" "/opt/Intel" "/opt/intel" "/opt/Altera" "/opt/altera" "/c/intelFPGA") if [ ! -z $QUARTUS_ROOTDIR ]; then - EnvSourceDir=$QUARTUS_ROOTDIR/${SourceDirectories[AlteraQuartus]} + EnvSourceDir=$QUARTUS_ROOTDIR/${Intel_Quartus_Settings[SourceDirectory]} else - for DefaultDir in ${DefaultDirectories[@]}; do + for DefaultDir in "${DefaultDirectories[@]}"; do for Major in 21 20 19 18 17 16; do for Minor in 4 3 2 1 0; do Dir=$DefaultDir/${Major}.${Minor}/quartus if [ -d $Dir ]; then - EnvSourceDir=$Dir/${SourceDirectories[AlteraQuartus]} + EnvSourceDir=$Dir/${Intel_Quartus_Settings[SourceDirectory]} break 3 fi done @@ -225,12 +235,6 @@ else done fi -# Source configuration file from GHDL's 'vendors' library directory -echo -e "${ANSI_MAGENTA}Loading environment...${ANSI_NOCOLOR}" -source $ScriptDir/config.sh -if [[ $? -ne 0 ]]; then echo 1>&2 -e "${COLORED_ERROR} While loading configuration.${ANSI_NOCOLOR}" ; exit 1; fi -source $ScriptDir/shared.sh -if [[ $? -ne 0 ]]; then echo 1>&2 -e "${COLORED_ERROR} While loading further procedures.${ANSI_NOCOLOR}"; exit 1; fi # <= $VHDLVersion # <= $VHDLStandard @@ -243,7 +247,7 @@ GHDLSetup $VHDLStandard # -> $DestDir # <= $SourceDirectory # <= $DestinationDirectory -SetupDirectories AlteraQuartus "Intel Quartus" +SetupDirectories Intel_Quartus "Intel Quartus" # create "osvvm" directory and change to it # => $DestinationDirectory @@ -251,7 +255,7 @@ CreateDestinationDirectory cd $DestinationDirectory -# Extend global GHDL Options +# Extend global GHDL Options TODO: move to GHDLSetup Analyze_Parameters+=( -fexplicit -Wbinding diff --git a/libraries/vendors/compile-lattice.sh b/libraries/vendors/compile-lattice.sh index cd556b695..8fe94de9b 100755 --- a/libraries/vendors/compile-lattice.sh +++ b/libraries/vendors/compile-lattice.sh @@ -63,7 +63,7 @@ HALT_ON_ERROR=0 VHDLStandard=93 DestDir="" SrcDir="" -while [[ $# > 0 ]]; do +while [[ $# -gt 0 ]]; do case "$1" in -c|--clean) COMMAND=3 @@ -172,7 +172,7 @@ if [[ $COMMAND -le 1 ]]; then echo "Verbosity:" echo " -v --verbose Print verbose messages." echo " -d --debug Print debug messages." -# echo " -n --no-filter Disable output filtering scripts." + echo " -n --no-filter Disable output filtering scripts." echo " -N --no-warnings Suppress all warnings. Show only error messages." echo "" exit $COMMAND @@ -184,21 +184,31 @@ if [[ $COMMAND -eq 2 ]]; then done fi + +# Source configuration file from GHDL's 'vendors' library directory +echo -e "${ANSI_MAGENTA}Loading environment...${ANSI_NOCOLOR}" +source $ScriptDir/config.sh +if [[ $? -ne 0 ]]; then echo 1>&2 -e "${COLORED_ERROR} While loading configuration.${ANSI_NOCOLOR}" ; exit 1; fi +source $ScriptDir/shared.sh +if [[ $? -ne 0 ]]; then echo 1>&2 -e "${COLORED_ERROR} While loading further procedures.${ANSI_NOCOLOR}"; exit 1; fi + +# Warn that some files might not be VHDL-2008 ready. Thus enabled continue on error. if [[ $VHDLStandard -eq 2008 ]]; then echo -e "${ANSI_RED}Not all Lattice packages are VHDL-2008 compatible! Setting CONTINUE_ON_ERROR to TRUE.${ANSI_NOCOLOR}" CONTINUE_ON_ERROR=1 fi -DefaultDirectories=("/usr/local/diamond" "/opt/Diamond" "/opt/diamond") +# Search Lattice Diamond in default installation locations +DefaultDirectories=("/usr/local/diamond" "/opt/Diamond" "/opt/diamond" "/c/Lattice/Diamond") if [ ! -z $LSC_DIAMOND ]; then - EnvSourceDir=$FOUNDRY/../${SourceDirectories[LatticeDiamond]} + EnvSourceDir=$FOUNDRY/../${Lattice_Diamond_Settings[SourceDirectory]} else - for DefaultDir in ${DefaultDirectories[@]}; do + for DefaultDir in "${DefaultDirectories[@]}"; do for Major in 3; do for Minor in 12 11 10 9 8 7 6 5; do Dir=$DefaultDir/${Major}.${Minor}_x64 if [ -d $Dir ]; then - EnvSourceDir=$Dir/${SourceDirectories[LatticeDiamond]} + EnvSourceDir=$Dir/${Lattice_Diamond_Settings[SourceDirectory]} break 3 fi done @@ -206,12 +216,6 @@ else done fi -# Source configuration file from GHDL's 'vendors' library directory -echo -e "${ANSI_MAGENTA}Loading environment...${ANSI_NOCOLOR}" -source $ScriptDir/config.sh -if [[ $? -ne 0 ]]; then echo 1>&2 -e "${COLORED_ERROR} While loading configuration.${ANSI_NOCOLOR}" ; exit 1; fi -source $ScriptDir/shared.sh -if [[ $? -ne 0 ]]; then echo 1>&2 -e "${COLORED_ERROR} While loading further procedures.${ANSI_NOCOLOR}"; exit 1; fi # <= $VHDLVersion # <= $VHDLStandard @@ -233,7 +237,7 @@ CreateDestinationDirectory cd $DestinationDirectory -# Extend global GHDL Options +# Extend global GHDL Options TODO: move to GHDLSetup Analyze_Parameters+=( -fexplicit -Wbinding diff --git a/libraries/vendors/compile-osvvm.sh b/libraries/vendors/compile-osvvm.sh index 5340e2702..02baf80c3 100755 --- a/libraries/vendors/compile-osvvm.sh +++ b/libraries/vendors/compile-osvvm.sh @@ -146,7 +146,7 @@ if [[ $COMMAND -le 1 ]]; then echo "Verbosity:" echo " -v --verbose Print verbose messages." echo " -d --debug Print debug messages." -# echo " -n --no-filter Disable output filtering scripts." + echo " -n --no-filter Disable output filtering scripts." echo " -N --no-warnings Suppress all warnings. Show only error messages." echo "" exit $COMMAND @@ -187,7 +187,7 @@ CreateDestinationDirectory cd $DestinationDirectory -# Extend global GHDL Options +# Extend global GHDL Options TODO: move to GHDLSetup Analyze_Parameters+=( -fexplicit -Wbinding diff --git a/libraries/vendors/compile-uvvm.sh b/libraries/vendors/compile-uvvm.sh index d482df8bd..aae1d2dc9 100755 --- a/libraries/vendors/compile-uvvm.sh +++ b/libraries/vendors/compile-uvvm.sh @@ -271,7 +271,7 @@ if [[ $COMMAND -le 1 ]]; then echo "Verbosity:" echo " -v --verbose Print verbose messages." echo " -d --debug Print debug messages." -# echo " -n --no-filter Disable output filtering scripts." + echo " -n --no-filter Disable output filtering scripts." echo " -N --no-warnings Suppress all warnings. Show only error messages." echo "" exit $COMMAND @@ -333,7 +333,7 @@ CreateDestinationDirectory cd $DestinationDirectory -# Extend global GHDL Options +# Extend global GHDL Options TODO: move to GHDLSetup Analyze_Parameters+=( -fexplicit -Wbinding diff --git a/libraries/vendors/compile-xilinx-ise.sh b/libraries/vendors/compile-xilinx-ise.sh index 2d62ea8ba..7aeee0bf5 100755 --- a/libraries/vendors/compile-xilinx-ise.sh +++ b/libraries/vendors/compile-xilinx-ise.sh @@ -62,7 +62,7 @@ VHDLStandard=93 GHDLBinDir="" DestDir="" SrcDir="" -while [[ $# > 0 ]]; do +while [[ $# -gt 0 ]]; do case "$1" in -c|--clean) COMMAND=3 @@ -182,7 +182,7 @@ if [[ $COMMAND -le 1 ]]; then echo "Verbosity:" echo " -v --verbose Print verbose messages." echo " -d --debug Print debug messages." -# echo " -n --no-filter Disable output filtering scripts." + echo " -n --no-filter Disable output filtering scripts." echo " -N --no-warnings Suppress all warnings. Show only error messages." echo "" exit $COMMAND @@ -196,22 +196,31 @@ if [[ $COMMAND -eq 2 ]]; then COMPILE_SECUREIP=1 fi + +# Source configuration file from GHDL's 'vendors' library directory +echo -e "${ANSI_MAGENTA}Loading environment...${ANSI_NOCOLOR}" +source $ScriptDir/config.sh +if [[ $? -ne 0 ]]; then echo 1>&2 -e "${COLORED_ERROR} While loading configuration.${ANSI_NOCOLOR}" ; exit 1; fi +source $ScriptDir/shared.sh +if [[ $? -ne 0 ]]; then echo 1>&2 -e "${COLORED_ERROR} While loading further procedures.${ANSI_NOCOLOR}"; exit 1; fi + +# Warn that some files might not be VHDL-2008 ready. Thus enabled continue on error. if [[ $VHDLStandard -eq 2008 ]]; then echo -e "${ANSI_RED}Not all Xilinx primitives are VHDL-2008 compatible! Setting CONTINUE_ON_ERROR to TRUE.${ANSI_NOCOLOR}" CONTINUE_ON_ERROR=1 fi - -DefaultDirectories=("/opt/Xilinx" "/opt/xilinx") +# Search Xilinx ISE in default installation locations +DefaultDirectories=("/opt/Xilinx" "/opt/xilinx" "/c/Xilinx") if [ ! -z $XILINX ]; then - EnvSourceDir=$XILINX/${SourceDirectories[XilinxISE]} + EnvSourceDir=$XILINX/${Xilinx_ISE_Settings[SourceDirectory]} else - for DefaultDir in ${DefaultDirectories[@]}; do + for DefaultDir in "${DefaultDirectories[@]}"; do for Major in 14 13; do for Minor in 7 6 5 4 3 2 1 0; do - Dir=$DefaultDir/${Major}.${Minor}/ISE_DS/ISE + Dir=$DefaultDir/${Major}.${Minor}/ISE_DS if [ -d $Dir ]; then - EnvSourceDir=$Dir/${SourceDirectories[XilinxISE]} + EnvSourceDir=$Dir/${Xilinx_ISE_Settings[SourceDirectory]} break 3 fi done @@ -219,12 +228,6 @@ else done fi -# Source configuration file from GHDL's 'vendors' library directory -echo -e "${ANSI_MAGENTA}Loading environment...${ANSI_NOCOLOR}" -source $ScriptDir/config.sh -if [[ $? -ne 0 ]]; then echo 1>&2 -e "${COLORED_ERROR} While loading configuration.${ANSI_NOCOLOR}" ; exit 1; fi -source $ScriptDir/shared.sh -if [[ $? -ne 0 ]]; then echo 1>&2 -e "${COLORED_ERROR} While loading further procedures.${ANSI_NOCOLOR}"; exit 1; fi # <= $VHDLVersion # <= $VHDLStandard @@ -238,7 +241,7 @@ GHDLSetup $VHDLStandard # -> $DestDir # <= $SourceDirectory # <= $DestinationDirectory -SetupDirectories XilinxISE "Xilinx ISE" +SetupDirectories Xilinx_ISE "Xilinx ISE" # create "xilinx-ise" directory and change to it # => $DestinationDirectory @@ -246,7 +249,7 @@ CreateDestinationDirectory cd $DestinationDirectory -# Extend global GHDL Options +# Extend global GHDL Options TODO: move to GHDLSetup TODO: move to GHDLSetup Analyze_Parameters+=( -fexplicit -Wbinding diff --git a/libraries/vendors/compile-xilinx-vivado.sh b/libraries/vendors/compile-xilinx-vivado.sh index c9ce1e2f5..b0ce19f0e 100755 --- a/libraries/vendors/compile-xilinx-vivado.sh +++ b/libraries/vendors/compile-xilinx-vivado.sh @@ -61,7 +61,7 @@ VHDLStandard=93 GHDLBinDir="" DestDir="" SrcDir="" -while [[ $# > 0 ]]; do +while [[ $# -gt 0 ]]; do case "$1" in -c|--clean) COMMAND=3 @@ -176,7 +176,7 @@ if [[ $COMMAND -le 1 ]]; then echo "Verbosity:" echo " -v --verbose Print verbose messages." echo " -d --debug Print debug messages." -# echo " -n --no-filter Disable output filtering scripts." + echo " -n --no-filter Disable output filtering scripts." echo " -N --no-warnings Suppress all warnings. Show only error messages." echo "" exit $COMMAND @@ -189,22 +189,31 @@ if [[ $COMMAND -eq 2 ]]; then COMPILE_SECUREIP=1 fi + +# Source configuration file from GHDL's 'vendors' library directory +echo -e "${ANSI_MAGENTA}Loading environment...${ANSI_NOCOLOR}" +source $ScriptDir/config.sh +if [[ $? -ne 0 ]]; then echo 1>&2 -e "${COLORED_ERROR} While loading configuration.${ANSI_NOCOLOR}" ; exit 1; fi +source $ScriptDir/shared.sh +if [[ $? -ne 0 ]]; then echo 1>&2 -e "${COLORED_ERROR} While loading further procedures.${ANSI_NOCOLOR}"; exit 1; fi + +# Warn that some files might not be VHDL-2008 ready. Thus enabled continue on error. if [[ $VHDLStandard -eq 2008 ]]; then echo -e "${ANSI_RED}Not all Xilinx primitives are VHDL-2008 compatible! Setting CONTINUE_ON_ERROR to TRUE.${ANSI_NOCOLOR}" CONTINUE_ON_ERROR=1 fi - -DefaultDirectories=("/opt/Xilinx/Vivado" "/opt/xilinx/Vivado") +# Search Xilinx Vivado in default installation locations +DefaultDirectories=("/opt/Xilinx/Vivado" "/opt/xilinx/Vivado" "/c/Xilinx/Vivado") if [ ! -z $XILINX_VIVADO ]; then - EnvSourceDir=$XILINX_VIVADO/${SourceDirectories[XilinxVivado]} + EnvSourceDir=$XILINX_VIVADO/${Xilinx_Vivado_Settings[SourceDirectory]} else - for DefaultDir in ${DefaultDirectories[@]}; do + for DefaultDir in "${DefaultDirectories[@]}"; do for Major in 2021 2020 2019 2018 2017 2016 2015 2014; do for Minor in 4 3 2 1; do Dir=$DefaultDir/${Major}.${Minor} if [ -d $Dir ]; then - EnvSourceDir=$Dir/${SourceDirectories[XilinxVivado]} + EnvSourceDir=$Dir/${Xilinx_Vivado_Settings[SourceDirectory]} break 3 fi done @@ -212,12 +221,6 @@ else done fi -# Source configuration file from GHDL's 'vendors' library directory -echo -e "${ANSI_MAGENTA}Loading environment...${ANSI_NOCOLOR}" -source $ScriptDir/config.sh -if [[ $? -ne 0 ]]; then echo 1>&2 -e "${COLORED_ERROR} While loading configuration.${ANSI_NOCOLOR}" ; exit 1; fi -source $ScriptDir/shared.sh -if [[ $? -ne 0 ]]; then echo 1>&2 -e "${COLORED_ERROR} While loading further procedures.${ANSI_NOCOLOR}"; exit 1; fi # <= $VHDLVersion # <= $VHDLStandard @@ -231,7 +234,7 @@ GHDLSetup $VHDLStandard # -> $DestDir # <= $SourceDirectory # <= $DestinationDirectory -SetupDirectories XilinxVivado "Xilinx Vivado" +SetupDirectories Xilinx_Vivado "Xilinx Vivado" # create "xilinx-vivado" directory and change to it # => $DestinationDirectory @@ -239,7 +242,7 @@ CreateDestinationDirectory cd $DestinationDirectory -# Extend global GHDL Options +# Extend global GHDL Options TODO: move to GHDLSetup Analyze_Parameters+=( -fexplicit -Wbinding diff --git a/libraries/vendors/config.sh b/libraries/vendors/config.sh index a95f6021e..0331e0cc7 100755 --- a/libraries/vendors/config.sh +++ b/libraries/vendors/config.sh @@ -68,15 +68,15 @@ declare -A UVVM_Settings=( ) declare -A Xilinx_ISE_Settings=( - ["InstallationDirectory"]="" # "/opt/Xilinx/14.7/ISE_DS/ISE" - ["SourceDirectory"]="eda/sim_lib" - ["DestinationDirectory"]="vhdl/src" + ["InstallationDirectory"]="" # "/opt/Xilinx/14.7/ISE_DS" + ["SourceDirectory"]="ISE/vhdl/src" + ["DestinationDirectory"]="xilinx-ise" ) declare -A Xilinx_Vivado_Settings=( ["InstallationDirectory"]="" # "/opt/Xilinx/Vivado/2020.2" - ["SourceDirectory"]="eda/sim_lib" - ["DestinationDirectory"]="data/vhdl/src" + ["SourceDirectory"]="data/vhdl/src" + ["DestinationDirectory"]="xilinx-vivado" ) diff --git a/libraries/vendors/shared.sh b/libraries/vendors/shared.sh index eed6379d1..f489283e6 100755 --- a/libraries/vendors/shared.sh +++ b/libraries/vendors/shared.sh @@ -252,7 +252,7 @@ AnalyzeVHDL() { if [[ $FILTERING -eq 0 ]]; then test $DEBUG -eq 1 && echo -e " ${ANSI_DARK_GRAY}$GHDL -a ${Analyze_Parameters[*]} ${Parameters[*]} --work=$LibraryName \"$SourceFile\"${ANSI_NOCOLOR}" - $GHDL -a ${Analyze_Parameters[@]} ${Parameters[@]} --work=$LibraryName --workdir=$DestinationDirectory "$SourceFile" + $GHDL -a "${Analyze_Parameters[@]}" "${Parameters[@]}" --work=$LibraryName --workdir=$DestinationDirectory "$SourceFile" ExitCode=$? if [[ $ExitCode -ne 0 ]]; then echo 1>&2 -e "$Filter_Indent${COLORED_ERROR} While analyzing '$File'. ExitCode: $ExitCode${ANSI_NOCOLOR}" @@ -261,7 +261,7 @@ AnalyzeVHDL() { else test $DEBUG -eq 1 && echo -e " ${ANSI_DARK_GRAY}$GHDL -a ${Analyze_Parameters[*]} ${Parameters[*]} --work=$LibraryName \"$SourceFile\" 2>&1 | \\\\${ANSI_NOCOLOR}" test $DEBUG -eq 1 && echo -e " ${ANSI_DARK_GRAY}$ScriptDir/$Analyze_Filter ${Filter_Parameters[*]} -i \"$Filter_Indent\"${ANSI_NOCOLOR}" - $GHDL -a ${Analyze_Parameters[@]} ${Parameters[@]} --work=$LibraryName "$SourceFile" 2>&1 | $ScriptDir/$Analyze_Filter ${Filter_Parameters[@]} -i "$Filter_Indent" + $GHDL -a "${Analyze_Parameters[@]}" "${Parameters[@]}" --work=$LibraryName "$SourceFile" 2>&1 | $ScriptDir/$Analyze_Filter "${Filter_Parameters[@]}" -i "$Filter_Indent" local PiplineStatus=("${PIPESTATUS[@]}") if [[ ${PiplineStatus[0]} -ne 0 ]]; then echo 1>&2 -e "$Filter_Indent${COLORED_ERROR} While analyzing '$File'. ExitCode: ${PiplineStatus[0]}${ANSI_NOCOLOR}" -- cgit v1.2.3