From 06c3669b60ccfb3d344031f2a2bd9fd540242e0d Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Mon, 6 Nov 2017 05:13:37 +0100 Subject: Fix typo and minor mistake in compile-uvvm.sh Fix #452 --- libraries/vendors/compile-uvvm.sh | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) (limited to 'libraries') diff --git a/libraries/vendors/compile-uvvm.sh b/libraries/vendors/compile-uvvm.sh index 9da8bc274..06a3c80d3 100644 --- a/libraries/vendors/compile-uvvm.sh +++ b/libraries/vendors/compile-uvvm.sh @@ -78,7 +78,7 @@ while [[ $# > 0 ]]; do COMPILE_UVVM_UTILITIES=TRUE NO_COMMAND=0 ;; - --uvvm-vcc-framework) + --uvvm-vvc-framework) COMPILE_UVVM_VVC_FRAMEWORK=TRUE NO_COMMAND=0 ;; @@ -175,7 +175,7 @@ if [ "$HELP" == "TRUE" ]; then echo "" echo "Common Packages:" echo " --uvvm-utilities " - echo " --uvvm-vcc-framework " + echo " --uvvm-vvc-framework " echo "" echo "Verification IPs:" echo " --uvvm-vip-avalon_mm " @@ -260,7 +260,7 @@ fi # ============================================================================== # compile uvvm_util packages ERRORCOUNT=0 -if [ "$COMPILE_UVVM" == "TRUE" ]; then +if [ "$COMPILE_UVVM_UTILITIES" == "TRUE" ]; then Library="uvvm_util" VHDLVersion="v08" Files=( @@ -287,7 +287,7 @@ fi # compile uvvm_vvc_framework packages ERRORCOUNT=0 -if [ "$COMPILE_UVVM" == "TRUE" ]; then +if [ "$COMPILE_UVVM_VVC_FRAMEWORK" == "TRUE" ]; then Library="uvvm_vvc_framework" VHDLVersion="v08" Files=( -- cgit v1.2.3