From 8476790ac37a1af22ffaaa1572ed23feb5fe4b41 Mon Sep 17 00:00:00 2001 From: gritbub <38131016+gritbub@users.noreply.github.com> Date: Mon, 4 Jun 2018 20:29:20 -0500 Subject: Elaborate the contents of the bug report template Also add a link to 1138-4EB/issue-runner --- doc/contribute.rst | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) (limited to 'doc') diff --git a/doc/contribute.rst b/doc/contribute.rst index 075a401c8..ee8bbf0de 100644 --- a/doc/contribute.rst +++ b/doc/contribute.rst @@ -40,9 +40,9 @@ Please, report issues of this kind through |SHIELD:bug-report|, as this allows u to assign developers to them. You can track the issue’s state and see how it’s getting solved. .. IMPORTANT:: - To make it easier, please elaborate a `Minimal (non) Working Example` (`MWE `_) prior to sending the report, so that the possible bug source is isolated. Should the MWE compile and run, it would be a good idea to make it look like a test and to make an assert statement that will stop execution; a severity level `note` indicates success, while a severity level `failure` indicates failure. + As suggested in the bug report template, please elaborate a `Minimal (non) Working Example` (`MWE `_) prior to sending the report, so that the possible bug source is isolated. Should it fulfill the format requirements of `issue-runner `_, you would be able to test your bug with the latest GHDL version. Please do so in order to ensure that the bug is not solved already. - Also, please include enough information for the maintainers to reproduce the problem. This includes: + Also, please include enough information in the bug report, for the maintainers to reproduce the problem. The template includes: * Operating system and version of GHDL (you can get it with :samp:`ghdl --version`). * Whether you have built GHDL from sources (provide short SHA of the used commit) or used the binary distribution (note which release/tag). -- cgit v1.2.3