From fb5957a16dea47ae4021c5d4c57b980cea02ee59 Mon Sep 17 00:00:00 2001 From: gingold Date: Tue, 12 Jan 2010 03:15:20 +0000 Subject: ghdl 0.29 release. --- doc/ghdl.html | 681 +++++++++++++++++++++++++++++++++------------------------- 1 file changed, 383 insertions(+), 298 deletions(-) (limited to 'doc/ghdl.html') diff --git a/doc/ghdl.html b/doc/ghdl.html index 2c9680753..e5b203f0b 100644 --- a/doc/ghdl.html +++ b/doc/ghdl.html @@ -3,7 +3,7 @@ GHDL guide - + @@ -65,6 +65,7 @@
  • 3.6.1 Directory command
  • 3.6.2 Clean command
  • 3.6.3 Remove command +
  • 3.6.4 Copy command
  • 3.7 Cross-reference command
  • 3.8 File commands @@ -83,8 +84,9 @@
  • 3.10 Installation Directory
  • 3.11 IEEE library pitfalls +
  • 3.12 IEEE math packages
  • -
  • 4 Simulation and run time +
  • 4 Simulation and runtime

    Sometimes, a design does not fully follow the VHDL standards. For example it -uses the badly engineered `std_logic_unsigned' package. GHDL supports +uses the badly engineered ‘std_logic_unsigned’ package. GHDL supports this VHDL dialect through some options:

         --ieee=synopsys -fexplicit
     
    @@ -565,7 +567,7 @@ this VHDL dialect through some options:


    -Next: , +Next: , Previous: Starting with GHDL, Up: Top @@ -579,7 +581,7 @@ Up: Top
         $ ghdl command [options...]
     

    The GHDL program has several commands. The first argument selects -the commands. The options are used to slighly modify the action. +the commands. The options are used to slightly modify the action.

    No options are allowed before the command. Except for the run commands, no options are allowed after a filename or a unit name. @@ -596,6 +598,7 @@ no options are allowed after a filename or a unit name.

  • Misc commands
  • Installation Directory
  • IEEE library pitfalls +
  • IEEE math packages
    @@ -641,7 +644,7 @@ Up: Building commands

    The analysis command compiles one or more files, and creates an object file for each source file. The analysis command is selected with --a switch. Any argument starting with a dash is a option, the +-a switch. Any argument starting with a dash is an option, the others are filenames. No options are allowed after a filename argument. GHDL analyzes each filename in the given order, and stops the analysis in case of error (the following files are not analyzed). @@ -669,7 +672,7 @@ Up: Building commands

    On GNU/Linux the elaboration command creates an executable containing the code of the VHDL sources, the elaboration code -and simulation code to execute a design hiearachy. On Windows this +and simulation code to execute a design hierarchy. On Windows this command elaborates the design but does not generate anything.

    The elaboration command is selected with -e switch, and must be @@ -682,28 +685,28 @@ followed by either:

    Name of the units must be a simple name, without any dot. You can -select the name of the `WORK' library with the --work=NAME +select the name of the ‘WORK’ library with the --work=NAME option, as described in GHDL options.

    See Top entity, for the restrictions on the root design of a hierarchy. -

    On GNU/Linux the file name of the executable is the name of the +

    On GNU/Linux the filename of the executable is the name of the primary unit, or for the later case, the concatenation of the name of the primary unit, a dash, and the name of the secondary unit (or architecture). On Windows there is no executable generated. -

    The -o followed by a file name can override the default -executable file name. +

    The -o followed by a filename can override the default +executable filename.

    For the elaboration command, GHDL re-analyzes all the configurations, entities, architectures and package declarations, and creates the default configurations and the default binding indications according to the LRM rules. It also generates the list of objects files required for the executable. Then, it links all these files with the -run time library. +runtime library. -

    The actual elaboration is performed at run-time. +

    The actual elaboration is performed at runtime.

    On Windows this command can be skipped because it is also done by the run command. @@ -724,24 +727,24 @@ Up: Building commands $ ghdl -r [options] primary_unit [secondary_unit] [simulation_options] -

    The options and arguments are the same as the See Elaboration command. +

    The options and arguments are the same as for the elaboration command, see Elaboration command. -

    On GNU/Linux this command simply build the filename of the executable -and execute it. Options are ignored. You may also directly execute +

    On GNU/Linux this command simply determines the filename of the executable +and executes it. Options are ignored. You may also directly execute the program.

    This command exists for three reasons:

    • You don't have to create the executable program name. -
    • It is coherent with the `-a' and `-e' commands. +
    • It is coherent with the ‘-a’ and ‘-e’ commands.
    • It works with the Windows implementation, where the code is generated in memory.
    -

    On Windows this command elaborate and launch the simulation. As a consequence +

    On Windows this command elaborates and launches the simulation. As a consequence you must use the same options used during analysis. -

    See Simulation and run time, for details on options. +

    See Simulation and runtime, for details on options.


    @@ -800,7 +803,7 @@ Up: Building commands

    This performs only the second stage of the elaboration command: the executable is created by linking the files of the object files list. -This command is available only for completness. The elaboration command is +This command is available only for completeness. The elaboration command is equivalent to the bind command followed by the link command.

    @@ -814,7 +817,7 @@ Up: Building commands3.1.7 List link command -

    Disp files which will be linked. +

    Display files which will be linked.

         $ ghdl --list-link primary_unit [secondary_unit]
     
    @@ -822,7 +825,7 @@ Up: Building commandsThis command may be used only after a bind command. GHDL displays all the files which will be linked to create an executable. This command is -intended to add object files in a link of an foreign program. +intended to add object files in a link of a foreign program.


    @@ -852,7 +855,7 @@ Up: 
    Building commands3.1.9 Analyze and elaborate command -

    Analyze files and elaborate in the same time. +

    Analyze files and elaborate them at the same time.

    On GNU/Linux:

         $ ghdl -c [options] file... -e primary_unit [secondary_unit]
    @@ -860,7 +863,7 @@ Up: Building commandsOn Windows:
     
         $ ghdl -c [options] file... -r primary_unit [secondary_unit]
     
    -

    This command combines analyze and elaboration: files are analyzed and +

    This command combines analysis and elaboration: files are analyzed and the unit is then elaborated. However, code is only generated during the elaboration. On Windows the simulation is launched. @@ -868,11 +871,11 @@ elaboration. On Windows the simulation is launched. drives the analysis. Therefore, there is no analysis order, and you don't need to care about it. -

    All the units of the files are put into the `work' library. But, the +

    All the units of the files are put into the ‘work’ library. But, the work library is neither read from disk nor saved. Therefore, you must give -all the files of the `work' library your design needs. +all the files of the ‘work’ library your design needs. -

    The advantages over the traditionnal approach (analyze and then elaborate) are: +

    The advantages over the traditional approach (analyze and then elaborate) are:

    • The compilation cycle is achieved in one command.
    • Since the files are only parsed once, the compilation cycle may be faster. @@ -882,12 +885,12 @@ do not generate code.
    However, you should know that currently most of the time is spent in code generation and the analyze and elaborate command generate code for all units -needed, even units of `std' and `ieee' libraries. Therefore, +needed, even units of ‘std’ and ‘ieee’ libraries. Therefore, according to the design, the time for this command may be higher than the time for the analyze command followed by the elaborate command.

    This command is still experimental. In case of problems, you should go back -to the traditionnal way. +to the traditional way.

    @@ -909,93 +912,96 @@ begin with -O or Specify the name of the `WORK' library. Analyzed units are always -placed in the library logically named `WORK'. With this option, +
    --work=NAME
    Specify the name of the ‘WORK’ library. Analyzed units are always +placed in the library logically named ‘WORK’. With this option, you can set its name. By default, the name is work. -

    GHDL checks `WORK' is a valid identifier. Although being -more or less supported, the `WORK' identifier should not be an +

    GHDL checks whether ‘WORK’ is a valid identifier. Although being +more or less supported, the ‘WORK’ identifier should not be an extended identifier, since the filesystem may prevent it from correctly working (due to case sensitivity or forbidden characters in filenames). -

    VHDL rules forbides you to add units in the `std' library. -Furthermode, you should not put units in the `ieee' library. +

    VHDL rules forbid you to add units to the ‘std’ library. +Furthermore, you should not put units in the ‘ieee’ library. -

    --workdir=PATH
    Specify the directory where the `WORK' library is. When this -option is not present, the `WORK' library is in the current +
    --workdir=DIR
    Specify the directory where the ‘WORK’ library is located. When this +option is not present, the ‘WORK’ library is in the current directory. The object files created by the compiler are always placed -in the same directory as the `WORK' library. +in the same directory as the ‘WORK’ library. + +

    Use option -P to specify where libraries other than ‘WORK’ +are placed. -

    --std=STD
    Specify the standard to use. By default, the standard is `93c', which +
    --std=STD
    Specify the standard to use. By default, the standard is ‘93c’, which means VHDL-93 accepting VHDL-87 syntax. For details on STD values see VHDL standards.
    --ieee=VER
    Select the IEEE library to use. VER must be one of:
    -
    `none'
    Do not supply an IEEE library. Any library clause with the `IEEE' +
    none
    Do not supply an IEEE library. Any library clause with the ‘IEEE’ identifier will fail, unless you have created by your own a library with the IEEE name. -
    `standard'
    Supply an IEEE library containing only packages defined by +
    standard
    Supply an IEEE library containing only packages defined by ieee standards. Currently, there are the multivalue logic system -packages `std_logic_1164' defined by IEEE 1164, the synthesis -packages , `numeric_bit' and `numeric_std' defined by IEEE -1076.3, and the vital packages `vital_timing' and -`vital_primitives', defined by IEEE 1076.4. The version of these +packages ‘std_logic_1164’ defined by IEEE 1164, the synthesis +packages , ‘numeric_bit’ and ‘numeric_std’ defined by IEEE +1076.3, and the vital packages ‘vital_timing’ and +‘vital_primitives’, defined by IEEE 1076.4. The version of these packages is defined by the VHDL standard used. See VITAL packages, for more details. -
    `synopsys'
    Supply the former packages and the following additionnal packages: -`std_logic_arith', `std_logic_signed', -`std_logic_unsigned', `std_logic_textio'. +
    synopsys
    Supply the former packages and the following additional packages: +‘std_logic_arith’, ‘std_logic_signed’, +‘std_logic_unsigned’, ‘std_logic_textio’. These packages were created by some companies, and are popular. However they are not standard packages, and have been placed in the IEEE -library without the ieee permission. +library without the permission from the ieee. -
    `mentor'
    Supply the standardr packages and the following additionnal package: -`std_logic_arith'. The package is a slight variation on a definitly +
    mentor
    Supply the standard packages and the following additional package: +‘std_logic_arith’. The package is a slight variation of a definitely not standard but widely mis-used package.

    To avoid errors, you must use the same IEEE library for all units of your design, and during elaboration. -

    -PPATH
    Add PATH to the end of the list of directories to be searched for +
    -PDIRECTORY
    Add DIRECTORY to the end of the list of directories to be searched for library files.

    The WORK library is always searched in the path specified by the ---workdir= option, or in the current directory if the later +--workdir= option, or in the current directory if the latter option is not specified.

    -fexplicit
    When two operators are overloaded, give preference to the explicit declaration. -This may be used to avoid the most common pitfall of the `std_logic_arith' +This may be used to avoid the most common pitfall of the ‘std_logic_arith’ package. See IEEE library pitfalls, for an example.

    This option is not set by default. I don't think this option is a good feature, because it breaks the encapsulation rule. When set, an -operator can be silently overriden in another package. You'd better to fix -your design and use the `numeric_std' package. +operator can be silently overridden in another package. You'd better to fix +your design and use the ‘numeric_std’ package.

    --no-vital-checks
    --vital-checks
    Disable or enable checks of restriction on VITAL units. Checks are enabled by default.

    Checks are performed only when a design unit is decorated by a VITAL attribute. -The VITAL attributes are `VITAL_Level0' and `VITAL_Level1', both -declared in the `ieee.VITAL_Timing' package. +The VITAL attributes are ‘VITAL_Level0’ and ‘VITAL_Level1’, both +declared in the ‘ieee.VITAL_Timing’ package.

    Currently, VITAL checks are only partially implemented. See VHDL restrictions for VITAL, for more details. -

    --syn-binding
    Use synthetizer rules for component binding. During elaboration, if a +
    --syn-binding
    Use synthesizer rules for component binding. During elaboration, if a component is not bound to an entity using VHDL LRM rules, try to find in any known library an entity whose name is the same as the component name. -

    This rule is known as synthetizer rule. +

    This rule is known as synthesizer rule.

    There are two key points: normal VHDL LRM rules are tried first and -entities are search only in known library. A known library is a +entities are searched only in known library. A known library is a library which has been named in your design.

    This option is only useful during elaboration. @@ -1025,7 +1031,7 @@ Up: Invoking GHDL

    These options are only available on GNU/Linux.

    For many commands, GHDL acts as a driver: it invokes programs to perform -the command. You can pass arbritrary options to these programs. +the command. You can pass arbitrary options to these programs.

    Both the compiler and the linker are in fact GCC programs. See GCC options, for details on GCC options. @@ -1050,7 +1056,7 @@ Up: Invoking GHDL

    3.4 GHDL warnings

    -

    Some contructions are not erroneous but dubious. Warnings are diagnostic +

    Some constructions are not erroneous but dubious. Warnings are diagnostic messages that report such constructions. Some warnings are reported only during analysis, others during elaboration. @@ -1058,10 +1064,10 @@ during analysis, others during elaboration. instead of --warn-XXX.

    -
    --warn-reserved
    Emit a warning if an identifier is a reserved word in a latter VHDL standard. +
    --warn-reserved
    Emit a warning if an identifier is a reserved word in a later VHDL standard.
    --warn-default-binding
    During analyze, warns if a component instantiation has neither -configuration specification nor default binding. This may be usefull if you +configuration specification nor default binding. This may be useful if you want to detect during analyze possibly unbound component if you don't use configuration. See VHDL standards, for more details about default binding rules. @@ -1074,7 +1080,7 @@ binding rules are somewhat complex and an unbound component is most often unexpected.

    However, warnings are even emitted if a component instantiation is -inside a generate statement. As a consequence, if you use conditionnal +inside a generate statement. As a consequence, if you use the conditional generate statement to select a component according to the implementation, you will certainly get warnings. @@ -1116,8 +1122,8 @@ Up: Invoking GHDL

    3.5 Rebuilding commands

    -

    Analyzing and elaborating a design consisting in severals files can be tricky, -due to dependences. GHDL has a few commands to rebuild a design. +

    Analyzing and elaborating a design consisting in several files can be tricky, +due to dependencies. GHDL has a few commands to rebuild a design.

    @@ -1299,6 +1306,7 @@ clean up.


    +Next: , Previous: Clean command, Up: Library commands @@ -1316,6 +1324,25 @@ known anymore by GHDL.


    + +Previous: Remove command, +Up: Library commands + +
    + +

    3.6.4 Copy command

    + +

    Make a local copy of an existing library. + +

         $ ghdl --copy --work=name [options]
    +
    +

    Make a local copy of an existing library. This is very useful if you want to +add unit to the ‘ieee’ library: +

         $ ghdl --copy --work=ieee --ieee=synopsys
    +     $ ghdl -a --work=ieee numeric_unsigned.vhd
    +
    +
    +


    Next: , @@ -1335,19 +1362,19 @@ Up: Invoking GHDL line, with syntax highlighting and full cross-reference: every identifier is a link to its declaration. Besides, an index of the files is created too. -

    The set of file are analyzed, and then, if the analyze is +

    The set of file are analyzed, and then, if the analysis is successful, html files are generated in the directory specified by the -o dir option, or html/ directory by default.

    If the --format=html2 is specified, then the generated html files follow the HTML 2.0 standard, and colours are specified with -`<FONT>' tags. However, colours are hard-coded. +‘<FONT>’ tags. However, colours are hard-coded.

    If the --format=css is specified, then the generated html files follow the HTML 4.0 standard, and use the CSS-1 file ghdl.css to specify colours. This file is generated only if it does not already exist (it is never overwritten) and can be customized by the user to change colours or -appearance. Refer to a generated file and its comments for more informations. +appearance. Refer to a generated file and its comments for more information.


    @@ -1361,7 +1388,7 @@ Up: Invoking GHDL

    3.8 File commands

    -

    The following commands act on one or severals files. They do not analysis +

    The following commands act on one or several files. They do not analyze files, therefore, they work even if a file has semantic errors.

    4.2 Debugging VHDL programs

    -

    Debugging VHDL programs usign GDB is possible only on GNU/Linux systems. +

    Debugging VHDL programs using GDB is possible only on GNU/Linux systems.

    GDB is a general purpose debugger for programs compiled by GCC. Currently, there is no VHDL support for GDB. It may be difficult @@ -1921,9 +2001,9 @@ to inspect variables or signals in GDB, however, GDB i still able to display the stack frame in case of error or to set a breakpoint at a specified line. -

    GDB can be useful to precisely catch a run-time error, such as indexing +

    GDB can be useful to precisely catch a runtime error, such as indexing an array beyond its bounds. All error check subprograms call the -__ghdl_fatal procedure. Therefore, to catch run-time error, set +__ghdl_fatal procedure. Therefore, to catch runtime error, set a breakpoint like this:

         (gdb) break __ghdl_fatal
     
    @@ -1934,7 +2014,7 @@ display the stack frames.


    Next: , -Previous: Simulation and run time, +Previous: Simulation and runtime, Up: Top
    @@ -1967,7 +2047,7 @@ Up: GHDL impl

    5.1 VHDL standards

    -

    This is very unfortunate, but there are many versions of the VHDL language. +

    This is very unfortunate, but there are many versions of the VHDL language.

    The VHDL language was first standardized in 1987 by IEEE as IEEE 1076-1987, and is commonly referred as VHDL-87. This is certainly the most important version, @@ -1979,7 +2059,7 @@ to give reasonable ways of interpreting the unclear portions of the standard.

    VHDL was revised in 1993 by IEEE as IEEE 1076-1993. This revision is still well-known. -

    Unfortunatly, VHDL-93 is not fully compatible with VHDL-87, ie some perfectly +

    Unfortunately, VHDL-93 is not fully compatible with VHDL-87, i.e. some perfectly valid VHDL-87 programs are invalid VHDL-93 programs. Here are some of the reasons: @@ -2001,28 +2081,28 @@ before).

    Minors corrections were added by the 2002 revision of the VHDL standard. This revision is not fully backward compatible with VHDL-00 since, for example, -the value of the 'instance_name attribute has slighly changed. +the value of the 'instance_name attribute has slightly changed.

    You can select the VHDL standard expected by GHDL with the -`--std=VER' option, where VER is one of the left column of the +‘--std=VER’ option, where VER is one of the left column of the table below:

    -
    `87'
    Select VHDL-87 standard as defined by IEEE 1076-1987. LRM bugs corrected by +
    87
    Select VHDL-87 standard as defined by IEEE 1076-1987. LRM bugs corrected by later revisions are taken into account. -
    `93'
    Select VHDL-93; VHDL-87 file declarations are not accepted. -
    `93c'
    Select VHDL-93 standard with relaxed rules: +
    93
    Select VHDL-93; VHDL-87 file declarations are not accepted. +
    93c
    Select VHDL-93 standard with relaxed rules:
    • VHDL-87 file declarations are accepted;
    • default binding indication rules of VHDL-02 are used. Default binding rules -are often used, but they are particulary obscure before VHDL-02. +are often used, but they are particularly obscure before VHDL-02.
    -
    `00'
    Select VHDL-2000 standard, which adds protected types. -
    `02'
    Select VHDL-2002 standard (partially implemented). +
    00
    Select VHDL-2000 standard, which adds protected types. +
    02
    Select VHDL-2002 standard (partially implemented).

    You cannot mix VHDL-87 and VHDL-93 units. A design hierarchy must have been -completly analyzed using either the 87 or the 93 version of the VHDL standard. +completely analyzed using either the 87 or the 93 version of the VHDL standard.


    @@ -2048,7 +2128,7 @@ GHDL may contain one or more design units.

    It is common to have several design units in a design file.

    GHDL does not impose any restriction on the name of a design file -(except that the file name may not contain any control character or +(except that the filename may not contain any control character or spaces).

    GHDL do not keep a binary representation of the design units analyzed like @@ -2069,17 +2149,17 @@ Up: GHDL impl

    5.3 Library database

    Each design unit analyzed is placed into a design library. By default, -the name of this design library is `work'; however, this can be +the name of this design library is ‘work’; however, this can be changed with the --work=NAME option of GHDL.

    To keep the list of design units in a design library, GHDL creates -library files. The name of these files is `NAME-objVER.cf', where +library files. The name of these files is ‘NAME-objVER.cf’, where NAME is the name of the library, and VER the VHDL version (87 or 93) used to analyze the design units.

    You don't have to know how to read a library file. You can display it using the -d of ghdl. The file contains the name of the -design units, as well as the location and the dependences. +design units, as well as the location and the dependencies.

    The format may change with the next version of GHDL. @@ -2095,16 +2175,16 @@ Up: GHDL impl

    5.4 VHDL files format

    -

    VHDL has features to handle files. +

    VHDL has features to handle files. -

    GHDL associates a file logical name (the VHDL file name) to an operating -system file name. The logical name `STD_INPUT' is associated to -the standard input as defined by `stdin' stream of the C library, -while the logical name `STD_OUTPUT' is associated to the standard -output, as defined by the `stdout' stream of the C library. Other -logical name are directly mapped to a file name as defined by the first -(`path') argument of the `fopen' function of the C library. -For a binary file, the `b' character is appended to the mode argument +

    GHDL associates a file logical name (the VHDL filename) to an operating +system filename. The logical name ‘STD_INPUT’ is associated to +the standard input as defined by ‘stdin’ stream of the C library, +while the logical name ‘STD_OUTPUT’ is associated to the standard +output, as defined by the ‘stdout’ stream of the C library. Other +logical name are directly mapped to a filename as defined by the first +(‘path’) argument of the ‘fopen’ function of the C library. +For a binary file, the ‘b’ character is appended to the mode argument (binary mode).

    If multiple file objects are associated with the same external file, a stream @@ -2118,9 +2198,9 @@ may restrict the maximum number of file open at the same time. documentation. -

    There are two kinds of files: binary or text files. +

    There are two kinds of files: binary or text files. -

    Text files are files of type `std.textio.text'. The format is the +

    Text files are files of type ‘std.textio.text’. The format is the same as the format of any ascii file. In VHDL-87, only the first 128 characters (7 bits) are allowed, since the character type has only 128 literals. The end of line is system dependent. Note that the stdio @@ -2191,12 +2271,12 @@ compiled because lines such as: variable Read_A_Write_B : memory_collision_type := Read_A_Write_B;

    (there are 6 such lines). -According to VHDL visibility rules, `Write_A_Write_B' cannot be used +According to VHDL visibility rules, ‘Write_A_Write_B’ cannot be used while it is defined. This is very logical because it prevents from silly declarations such as

           constant k : natural := 2 * k;
     
    -

    This files must be modified. Fortunatly, in the example the variables +

    This files must be modified. Fortunately, in the example the variables are never written. So it is enough to remove them.

    @@ -2212,17 +2292,17 @@ Up: GHDL impl

    5.7 Using ieee.math_real or ieee.math_complex

    -

    Contrary to other `ieee' libraries, the math packages sources are not +

    Contrary to other ‘ieee’ libraries, the math packages sources are not freely available. The sources provided with GHDL are based on an early draft and use the C libraries. As a consequence, you should link your design -with the `libm.a' library using the -Wl, option like: +with the ‘libm.a’ library using the -Wl, option like:

         $ ghdl -e -Wl,-lm my_design
     

    Please, refer to your system manual for more details. -

    Please also note that the `ieee' libraries are not the same as the drafts. +

    Please also note that the ‘ieee’ libraries are not the same as the drafts. -

    If you really need the `ieee' math libraries, they are available on the +

    If you really need the ‘ieee’ math libraries, they are available on the web, but they cannot be included in GHDL.

    @@ -2236,7 +2316,7 @@ Up: GHDL impl

    5.8 Interfacing to other languages

    -

    Interfacing with foreign languages is possible only on GNU/Linux systems. +

    Interfacing with foreign languages is possible only on GNU/Linux systems.

    You can define a subprogram in a foreign language (such as C or Ada) and import it in a VHDL design. @@ -2260,10 +2340,10 @@ attribute. In this example, the sin function is imported:

    A subprogram is made foreign if the foreign attribute decorates it. This attribute is declared in the 1993 revision of the -`std.standard' package. Therefore, you cannot use this feature in +‘std.standard’ package. Therefore, you cannot use this feature in VHDL 1987. -

    The decoration is achived through an attribute specification. The +

    The decoration is achieved through an attribute specification. The attribute specification must be in the same declarative part as the subprogram and must be after it. This is a general rule for specifications. The value of the specification must be a locally static string. @@ -2271,7 +2351,7 @@ The value of the specification must be a locally static string.

    Even when a subprogram is foreign, its body must be present. However, since it won't be called, you can made it empty or simply but an assertion. -

    The value of the attribute must start with `VHPIDIRECT ' (an +

    The value of the attribute must start with ‘VHPIDIRECT ’ (an upper-case keyword followed by one or more blanks). The linkage name of the subprogram follows. @@ -2296,15 +2376,15 @@ Up: Interf

    Any subprogram can be imported. GHDL puts no restrictions on foreign subprograms. However, the representation of a type or of an interface in a -foreign language may be obscur. Most of non-composite types are easily imported: +foreign language may be obscure. Most of non-composite types are easily imported:

    -
    `integer types'
    They are represented on a 32 bits word. This generally corresponds to +
    integer types
    They are represented on a 32 bits word. This generally corresponds to int for C or Integer for Ada. -
    `physical types'
    They are represented on a 64 bits word. This generally corresponds to the +
    physical types
    They are represented on a 64 bits word. This generally corresponds to the long long for C or Long_Long_Integer for Ada. -
    `floating point types'
    They are represented on a 64 bits floating point word. This generally +
    floating point types
    They are represented on a 64 bits floating point word. This generally corresponds to double for C or Long_Float for Ada. -
    `enumeration types'
    They are represented on 8 bits or 32 bits word, if the number of literals is +
    enumeration types
    They are represented on 8 bits or 32 bits word, if the number of literals is greater than 256. There is no corresponding C types, since arguments are not promoted.
    @@ -2325,7 +2405,7 @@ length is the number of elements, and are passed by reference to subprograms.

    Unconstrained array are represented by a fat pointer. Do not use unconstrained arrays in foreign subprograms. -

    Accesses to an unconstrained array is a fat pointer. Other accesses corresponds a an address and are passed to a subprogram like other non-composite types. +

    Accesses to an unconstrained array is a fat pointer. Other accesses correspond to an address and are passed to a subprogram like other non-composite types.

    Files are represented by a 32 bits word, which corresponds to an index in a table. @@ -2341,7 +2421,7 @@ Up: Interf

    5.8.3 Linking with foreign object files

    -

    You may add additionnal files or options during the link using the +

    You may add additional files or options during the link using the -Wl, of GHDL, as described in Elaboration command. For example: @@ -2363,8 +2443,8 @@ Up: Interf

    5.8.4 Starting a simulation from a foreign program

    -

    You main run your design from an external program. You just have to call -the `ghdl_main' function which can be defined: +

    You may run your design from an external program. You just have to call +the ‘ghdl_main’ function which can be defined:

    in C:

         extern int ghdl_main (int argc, char **argv);
    @@ -2400,7 +2480,7 @@ suppose there is only one design file, design.vhdl     $ ghdl -a design.vhdl
     

    Then, bind your design. In this example, we suppose the entity at the -design apex is `design'. +design apex is ‘design’.

         $ ghdl --bind design
     

    Finally, compile, bind your Ada program at link it with your VHDL @@ -2426,15 +2506,15 @@ each release.

    The simulator kernel of GHDL named GRT is written in -Ada95 and contains a very light and slighly adapted version +Ada95 and contains a very light and slightly adapted version of VHPI. Since it is an Ada implementation it is called AVHPI. Although being tough, you may interface to AVHPI.

    For using AVHPI, you need the sources of GHDL and to recompile them (at least the GRT library). This library is usually compiled with a No_Run_Time pragma, so that the user does not need to install the -GNAT run time library. However, you certainly want to use the usual -run time library and want to avoid this pragma. For this, reset the +GNAT runtime library. However, you certainly want to use the usual +runtime library and want to avoid this pragma. For this, reset the GRT_PRAGMA_FLAG variable.

         $ make GRT_PRAGMA_FLAG= grt-all
     
    @@ -2505,7 +2585,7 @@ Up: 
    Top

    6 GHDL implementation of VITAL

    -

    This chapter describes how VITAL is implemented in GHDL. Support of VITAL is +

    This chapter describes how VITAL is implemented in GHDL. Support of VITAL is really in a preliminary stage. Do not expect too much of it as now.