From 65544a635f3588dfdeed8d0ffc4a7ea4d65511cd Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Mon, 6 Jun 2022 17:40:58 +0200 Subject: testsuite/gna: add one test for #2076 --- testsuite/gna/issue2076/gcrash-9a.vhdl | 4 ++++ testsuite/gna/issue2076/testsuite.sh | 1 + 2 files changed, 5 insertions(+) create mode 100644 testsuite/gna/issue2076/gcrash-9a.vhdl diff --git a/testsuite/gna/issue2076/gcrash-9a.vhdl b/testsuite/gna/issue2076/gcrash-9a.vhdl new file mode 100644 index 000000000..9ce2175c6 --- /dev/null +++ b/testsuite/gna/issue2076/gcrash-9a.vhdl @@ -0,0 +1,4 @@ +package g0 is + package is + end package; +end package; diff --git a/testsuite/gna/issue2076/testsuite.sh b/testsuite/gna/issue2076/testsuite.sh index 6e9bc3c45..82a824f43 100755 --- a/testsuite/gna/issue2076/testsuite.sh +++ b/testsuite/gna/issue2076/testsuite.sh @@ -5,6 +5,7 @@ files=" gcrash-1a.vhdl gcrash-6a.vhdl +gcrash-9a.vhdl " export GHDL_STD_FLAGS=--std=08 -- cgit v1.2.3