aboutsummaryrefslogtreecommitdiffstats
Commit message (Expand)AuthorAgeFilesLines
* Code formatting based on black.Patrick Lehmann2022-12-245-70/+37
* Change encoding to latin-1 for libghdl (to comply with standard).Patrick Lehmann2022-12-246-13/+18
* Clear errors after error readout.Patrick Lehmann2022-12-241-0/+2
* Merge pull request #2265 from Paebbels/paebbels/DOM-with-documentationtgingold2022-12-2436-395/+1331
|\
| * Bumped pyVHDLModel dependency to v0.18.0.Patrick Lehmann2022-12-231-1/+1
| * We should have asked black first.Patrick Lehmann2022-12-232-3/+6
| * Loading predefined libraries and packages.Patrick Lehmann2022-12-231-0/+2
| * Fixed tuple -> name.Patrick Lehmann2022-12-231-1/+1
| * Updated to pyVHDLModel v0.18.0.Patrick Lehmann2022-12-237-38/+86
| * Changed doc comment position.Patrick Lehmann2022-12-231-1/+3
| * Fixed path to SimpleEntity.Patrick Lehmann2022-12-231-1/+1
| * Use correct API in pyVHDLModel.Patrick Lehmann2022-12-231-10/+10
| * Updated StopWatch testcode.Patrick Lehmann2022-12-231-31/+43
| * Added StopWatch example for DOM and documentation testing.Patrick Lehmann2022-12-2312-12/+813
| * Restructured test example sourcefiles.Patrick Lehmann2022-12-234-16/+78
| * Fixed multiple generics reading.Patrick Lehmann2022-12-231-1/+3
| * Updated for latest pyVHDLModel v0.17.xPatrick Lehmann2022-12-233-32/+57
| * Here is blacks opinion.Patrick Lehmann2022-12-236-59/+29
| * Bumped version of pyVHDLModel to 0.17.1.Patrick Lehmann2022-12-231-1/+1
| * Reorganized comment tests.Patrick Lehmann2022-12-232-4/+15
| * Fixed handling of multiple defined identifiers for latest pyVHDLModel.Patrick Lehmann2022-12-232-21/+46
| * Adjusted to latest pyVHDLModel.Patrick Lehmann2022-12-234-11/+15
| * Disable PIP version checks.Patrick Lehmann2022-12-231-6/+4
| * Some f-string changes.Patrick Lehmann2022-12-231-5/+3
| * Collect file header comments as documentation for the VHDL document.Patrick Lehmann2022-12-231-1/+2
| * Collect multiline comments with linebreaks.Patrick Lehmann2022-12-231-3/+4
| * Added comments to test files.Patrick Lehmann2022-12-231-1/+3
| * Added handling of associated documentation comments.Patrick Lehmann2022-12-238-183/+141
| * Added method to get associated comments of an iir node.Patrick Lehmann2022-12-231-2/+13
|/
* vhdl-sem_stmts: simplify code, add commentsTristan Gingold2022-12-231-27/+5
* testsuite/gna/bug0130: reindentationTristan Gingold2022-12-231-3/+3
* vhdl-sem_inst: avoid a crash after error on package instantiationTristan Gingold2022-12-231-0/+2
* vhdl-disp_tree: display name_id as a number (and not like a node id)Tristan Gingold2022-12-231-2/+1
* testsuite/gna: add original test for #2264Tristan Gingold2022-12-231-0/+3
* trans-chap5.adb: handle package association in entities.Tristan Gingold2022-12-234-20/+27
* Testing complex documentation examples (#2275)Patrick Lehmann2022-12-234-136/+133
* vhdl-sem_inst: factorize codeTristan Gingold2022-12-231-39/+12
* testsuite/gna: add test for #2264Tristan Gingold2022-12-234-0/+180
* vhdl-sem_inst: add instantiate_component_declaration.Tristan Gingold2022-12-234-5/+139
* testsuite/synth: add a test for #2279Tristan Gingold2022-12-224-0/+75
* synth: handle element in target aggregate. Fix #2279Tristan Gingold2022-12-221-3/+7
* testsuite/gna: add a test for #2277Tristan Gingold2022-12-212-0/+50
* vhdl-parse: handle 'end for' in configuration specification.Tristan Gingold2022-12-214-286/+330
* testsuite/pyunit/libghdl: adjust Complex, add Complex_MultilinesTristan Gingold2022-12-213-4/+143
* testsuite/pyunit/libghd: add process_2 testTristan Gingold2022-12-212-0/+15
* testsuite/pyunit/libghdl: add a test for package commentsTristan Gingold2022-12-212-0/+10
* file_comments: fix gathering for packagesTristan Gingold2022-12-212-2/+16
* file_comments.adb: factorize codeTristan Gingold2022-12-201-8/+5
* pyGHDL: reformattingTristan Gingold2022-12-201-1/+2
* testsuite/pyunit: check with source identifierTristan Gingold2022-12-202-5/+5