aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/pyunit/SimpleEntity.vhdl (renamed from testsuite/pyunit/libghdl/simpleEntity.vhdl)0
-rw-r--r--testsuite/pyunit/dom/SimpleEntity.py (renamed from testsuite/pyunit/dom/Instantiate.py)0
2 files changed, 0 insertions, 0 deletions
diff --git a/testsuite/pyunit/libghdl/simpleEntity.vhdl b/testsuite/pyunit/SimpleEntity.vhdl
index a26a6357c..a26a6357c 100644
--- a/testsuite/pyunit/libghdl/simpleEntity.vhdl
+++ b/testsuite/pyunit/SimpleEntity.vhdl
diff --git a/testsuite/pyunit/dom/Instantiate.py b/testsuite/pyunit/dom/SimpleEntity.py
index 429c1a5a8..429c1a5a8 100644
--- a/testsuite/pyunit/dom/Instantiate.py
+++ b/testsuite/pyunit/dom/SimpleEntity.py