aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/synth34/repro_rng1.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/synth34/repro_rng1.vhdl')
-rw-r--r--testsuite/synth/synth34/repro_rng1.vhdl42
1 files changed, 42 insertions, 0 deletions
diff --git a/testsuite/synth/synth34/repro_rng1.vhdl b/testsuite/synth/synth34/repro_rng1.vhdl
new file mode 100644
index 000000000..9d5a70e04
--- /dev/null
+++ b/testsuite/synth/synth34/repro_rng1.vhdl
@@ -0,0 +1,42 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity sub_rng1 is
+ port (
+ clk : in std_logic;
+ a : in natural range 0 to 7;
+ b : out natural range 0 to 7
+ );
+end sub_rng1;
+
+architecture rtl of sub_rng1 is
+begin
+ process(clk)
+ begin
+ if rising_edge(clk) then
+ b <= a;
+ end if;
+ end process;
+end rtl;
+
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity repro_rng1 is
+ port (
+ clk : in std_logic;
+ a : in natural range 0 to 7;
+ b : out natural range 0 to 7
+ );
+end repro_rng1;
+
+architecture rtl of repro_rng1 is
+begin
+ i_sub_rng1 : entity work.sub_rng1
+ port map (
+ clk => clk,
+ a => a,
+ b => b
+ );
+end rtl;