aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1175/issue2.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1175/issue2.vhdl')
-rw-r--r--testsuite/synth/issue1175/issue2.vhdl10
1 files changed, 10 insertions, 0 deletions
diff --git a/testsuite/synth/issue1175/issue2.vhdl b/testsuite/synth/issue1175/issue2.vhdl
new file mode 100644
index 000000000..9808a857a
--- /dev/null
+++ b/testsuite/synth/issue1175/issue2.vhdl
@@ -0,0 +1,10 @@
+entity issue2 is
+ port (foo : in bit_vector(32-1 downto 0);
+ bar : out bit);
+end issue2;
+
+architecture rtl of issue2 is
+ alias a_bar is foo(foo'high);
+begin
+ bar <= a_bar;
+end architecture;