aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispvhdl01/pkg.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/dispvhdl01/pkg.vhdl')
-rw-r--r--testsuite/synth/dispvhdl01/pkg.vhdl2
1 files changed, 1 insertions, 1 deletions
diff --git a/testsuite/synth/dispvhdl01/pkg.vhdl b/testsuite/synth/dispvhdl01/pkg.vhdl
index 592226f79..c61ef3bce 100644
--- a/testsuite/synth/dispvhdl01/pkg.vhdl
+++ b/testsuite/synth/dispvhdl01/pkg.vhdl
@@ -2,7 +2,7 @@ library ieee;
use ieee.std_logic_1164.all;
package pkg is
- type myrec is record
+ type my_rec is record
b : std_logic;
end record;
end pkg;