aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dff01/dff10.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/dff01/dff10.vhdl')
-rw-r--r--testsuite/synth/dff01/dff10.vhdl2
1 files changed, 1 insertions, 1 deletions
diff --git a/testsuite/synth/dff01/dff10.vhdl b/testsuite/synth/dff01/dff10.vhdl
index 86af44865..5a111c7b5 100644
--- a/testsuite/synth/dff01/dff10.vhdl
+++ b/testsuite/synth/dff01/dff10.vhdl
@@ -11,7 +11,7 @@ end dff10;
architecture behav of dff10 is
begin
- process (clk) is
+ process (clk, rst) is
constant rval : std_logic_vector(7 downto 0) := x"55";
begin
if rst = '1' then