aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna')
-rw-r--r--testsuite/gna/bug0100/choicelen.vhdl14
-rw-r--r--testsuite/gna/bug0100/noexpr.vhdl8
-rwxr-xr-xtestsuite/gna/bug0100/testsuite.sh2
3 files changed, 24 insertions, 0 deletions
diff --git a/testsuite/gna/bug0100/choicelen.vhdl b/testsuite/gna/bug0100/choicelen.vhdl
new file mode 100644
index 000000000..c9578948c
--- /dev/null
+++ b/testsuite/gna/bug0100/choicelen.vhdl
@@ -0,0 +1,14 @@
+entity nochoice2 is
+end;
+
+architecture behav of nochoice2 is
+ constant n : string (1 to 2) := "ab";
+begin
+ process
+ begin
+ case n is
+ when "aa" => null;
+ when "bbb" => null;
+ end case;
+ end process;
+end behav;
diff --git a/testsuite/gna/bug0100/noexpr.vhdl b/testsuite/gna/bug0100/noexpr.vhdl
new file mode 100644
index 000000000..7b4b339b8
--- /dev/null
+++ b/testsuite/gna/bug0100/noexpr.vhdl
@@ -0,0 +1,8 @@
+entity noexpr is
+end noexpr;
+
+architecture behav of noexpr is
+ signal s : bit_vector (7 downto 0);
+begin
+ s (7 downto 1) <= ();
+end behav;
diff --git a/testsuite/gna/bug0100/testsuite.sh b/testsuite/gna/bug0100/testsuite.sh
index a17297a28..5284a603d 100755
--- a/testsuite/gna/bug0100/testsuite.sh
+++ b/testsuite/gna/bug0100/testsuite.sh
@@ -7,6 +7,8 @@ analyze_failure --force-analysis notype1.vhdl
analyze_failure --force-analysis notype2.vhdl
analyze_failure --force-analysis nochoice1.vhdl
analyze_failure --force-analysis nochoice2.vhdl
+analyze_failure --force-analysis choicelen.vhdl
+analyze_failure --force-analysis noexpr.vhdl
if analyze_failure --force-analysis notype1.vhdl 2>&1 | grep -q "indexed name"; then
: