aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue50/idct.d/muxb_201.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue50/idct.d/muxb_201.vhd')
-rw-r--r--testsuite/gna/issue50/idct.d/muxb_201.vhd22
1 files changed, 22 insertions, 0 deletions
diff --git a/testsuite/gna/issue50/idct.d/muxb_201.vhd b/testsuite/gna/issue50/idct.d/muxb_201.vhd
new file mode 100644
index 000000000..106183a41
--- /dev/null
+++ b/testsuite/gna/issue50/idct.d/muxb_201.vhd
@@ -0,0 +1,22 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+library ieee;
+use ieee.numeric_std.all;
+
+entity muxb_201 is
+ port (
+ in_sel : in std_logic;
+ out_data : out std_logic;
+ in_data0 : in std_logic;
+ in_data1 : in std_logic
+ );
+end muxb_201;
+
+architecture augh of muxb_201 is
+begin
+
+ out_data <= in_data0 when in_sel = '0' else in_data1;
+
+end architecture;
+