aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1229/tb.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue1229/tb.vhdl')
-rw-r--r--testsuite/gna/issue1229/tb.vhdl17
1 files changed, 17 insertions, 0 deletions
diff --git a/testsuite/gna/issue1229/tb.vhdl b/testsuite/gna/issue1229/tb.vhdl
new file mode 100644
index 000000000..efe510017
--- /dev/null
+++ b/testsuite/gna/issue1229/tb.vhdl
@@ -0,0 +1,17 @@
+entity tb is
+end entity tb;
+
+architecture RTL of tb is
+ package tbpkg is new work.pkg generic map (N => 3);
+begin
+ process
+ use tbpkg.all;
+ variable int : integer := c_int;
+ begin
+ report tbpkg.c_int'foreign;
+
+ showPackageN;
+ report "C Int: " & integer'image(int);
+ wait;
+ end process;
+end architecture RTL;