aboutsummaryrefslogtreecommitdiffstats
path: root/src/vhdl/vhdl-nodes.ads
diff options
context:
space:
mode:
Diffstat (limited to 'src/vhdl/vhdl-nodes.ads')
-rw-r--r--src/vhdl/vhdl-nodes.ads2
1 files changed, 2 insertions, 0 deletions
diff --git a/src/vhdl/vhdl-nodes.ads b/src/vhdl/vhdl-nodes.ads
index c419cc599..cc9279d1b 100644
--- a/src/vhdl/vhdl-nodes.ads
+++ b/src/vhdl/vhdl-nodes.ads
@@ -1139,6 +1139,8 @@ package Vhdl.Nodes is
-- Get/Set_Parent (Field0)
-- Get/Set_Design_Unit (Alias Field0)
--
+ -- Get/Set_Chain (Field2)
+ --
-- Get/Set_Identifier (Field3)
--
-- Get/Set_Hierarchical_Name (Field1)