aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--testsuite/gna/issue2425/pkg.vhdl9
-rwxr-xr-xtestsuite/gna/issue2425/testsuite.sh10
2 files changed, 19 insertions, 0 deletions
diff --git a/testsuite/gna/issue2425/pkg.vhdl b/testsuite/gna/issue2425/pkg.vhdl
new file mode 100644
index 000000000..500ebf52c
--- /dev/null
+++ b/testsuite/gna/issue2425/pkg.vhdl
@@ -0,0 +1,9 @@
+library IEEE;
+use IEEE.STD_LOGIC_1164.all;
+
+package pkg is
+
+ constant MY_CONSTANT : std_logic_vector(15 downto 0) := (others => '0');
+ constant MY_CONSTANT2 : std_logic_vector(15 downto 0) := to_slv(MY_CONSTANT);
+
+end package;
diff --git a/testsuite/gna/issue2425/testsuite.sh b/testsuite/gna/issue2425/testsuite.sh
new file mode 100755
index 000000000..042da037f
--- /dev/null
+++ b/testsuite/gna/issue2425/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze pkg.vhdl
+
+clean
+
+echo "Test successful"