diff options
Diffstat (limited to 'icestick/leds.vhdl')
-rw-r--r-- | icestick/leds.vhdl | 16 |
1 files changed, 16 insertions, 0 deletions
diff --git a/icestick/leds.vhdl b/icestick/leds.vhdl new file mode 100644 index 0000000..95aa5cf --- /dev/null +++ b/icestick/leds.vhdl @@ -0,0 +1,16 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +-- Led positions +-- +-- I D3 +-- r +-- D D2 D5 D4 +-- A +-- D1 +-- +entity leds is + port (clk : in std_logic; + led1, led2, led3, led4, led5 : out std_logic); +end leds; |