From bc24ae901b74c5b673837d7f83423c1f7aa45c29 Mon Sep 17 00:00:00 2001 From: James McKenzie Date: Fri, 18 Apr 2025 12:37:26 +0100 Subject: fish --- ...27\266\345\272\217\346\240\207\345\207\206.pdf" | Bin 0 -> 1086239 bytes .../examples/07_hdmi/hdmi/doc/hdmi_colorbar.vsdx | Bin 0 -> 807294 bytes .../07_hdmi/hdmi/quartus_prj/PLLJ_PLLSPE_INFO.txt | 5 + .../examples/07_hdmi/hdmi/quartus_prj/ddio_out.qip | 0 .../hdmi/quartus_prj/greybox_tmp/cbx_args.txt | 13 + .../07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qpf | 30 + .../07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qsf | 98 + .../07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qws | Bin 0 -> 613 bytes .../hdmi_colorbar_assignment_defaults.qdf | 805 ++ .../hdmi/quartus_prj/ip_core/clk_gen/clk_gen.ppf | 12 + .../hdmi/quartus_prj/ip_core/clk_gen/clk_gen.qip | 6 + .../hdmi/quartus_prj/ip_core/clk_gen/clk_gen.v | 348 + .../hdmi/quartus_prj/ip_core/clk_gen/clk_gen_bb.v | 232 + .../quartus_prj/ip_core/clk_gen/clk_gen_inst.v | 7 + .../ip_core/clk_gen/greybox_tmp/cbx_args.txt | 61 + .../hdmi/quartus_prj/ip_core/ddio_out/clk_gen.qip | 0 .../hdmi/quartus_prj/ip_core/ddio_out/ddio_out.bsf | 64 + .../hdmi/quartus_prj/ip_core/ddio_out/ddio_out.cmp | 24 + .../hdmi/quartus_prj/ip_core/ddio_out/ddio_out.inc | 25 + .../hdmi/quartus_prj/ip_core/ddio_out/ddio_out.ppf | 11 + .../hdmi/quartus_prj/ip_core/ddio_out/ddio_out.qip | 9 + .../hdmi/quartus_prj/ip_core/ddio_out/ddio_out.v | 107 + .../quartus_prj/ip_core/ddio_out/ddio_out_bb.v | 76 + .../quartus_prj/ip_core/ddio_out/ddio_out_inst.v | 7 + .../ip_core/ddio_out/greybox_tmp/cbx_args.txt | 66 + .../hdmi/quartus_prj/ip_core/ddio_out/pll.qip | 0 .../simulation/modelsim/hdmi_colorbar.sft | 6 + .../simulation/modelsim/hdmi_colorbar.vo | 11443 +++++++++++++++++++ .../modelsim/hdmi_colorbar_8_1200mv_0c_slow.vo | 11443 +++++++++++++++++++ .../modelsim/hdmi_colorbar_8_1200mv_0c_v_slow.sdo | 9062 +++++++++++++++ .../modelsim/hdmi_colorbar_8_1200mv_85c_slow.vo | 11443 +++++++++++++++++++ .../modelsim/hdmi_colorbar_8_1200mv_85c_v_slow.sdo | 9062 +++++++++++++++ .../modelsim/hdmi_colorbar_min_1200mv_0c_fast.vo | 11443 +++++++++++++++++++ .../hdmi_colorbar_min_1200mv_0c_v_fast.sdo | 9062 +++++++++++++++ .../simulation/modelsim/hdmi_colorbar_modelsim.xrf | 623 + .../simulation/modelsim/hdmi_colorbar_v.sdo | 9062 +++++++++++++++ .../examples/07_hdmi/hdmi/rtl/hdmi/encode.v | 190 + .../examples/07_hdmi/hdmi/rtl/hdmi/hdmi_ctrl.v | 129 + .../examples/07_hdmi/hdmi/rtl/hdmi/par_to_ser.v | 73 + .../examples/07_hdmi/hdmi/rtl/hdmi_colorbar.v | 118 + .../examples/07_hdmi/hdmi/rtl/vga_ctrl.v | 113 + smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/vga_pic.v | 78 + .../examples/07_hdmi/hdmi/sim/tb_hdmi_colorbar.v | 72 + 43 files changed, 85428 insertions(+) create mode 100644 "smh-ac415-fpga/examples/07_hdmi/hdmi/doc/VESA VGA\346\227\266\345\272\217\346\240\207\345\207\206.pdf" create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/doc/hdmi_colorbar.vsdx create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/PLLJ_PLLSPE_INFO.txt create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ddio_out.qip create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/greybox_tmp/cbx_args.txt create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qpf create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qsf create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qws create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar_assignment_defaults.qdf create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.ppf create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.qip create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.v create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen_bb.v create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen_inst.v create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/greybox_tmp/cbx_args.txt create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/clk_gen.qip create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.bsf create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.cmp create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.inc create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.ppf create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.qip create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.v create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out_bb.v create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out_inst.v create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/greybox_tmp/cbx_args.txt create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/pll.qip create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar.sft create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar.vo create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_0c_slow.vo create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_0c_v_slow.sdo create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_85c_slow.vo create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_85c_v_slow.sdo create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_min_1200mv_0c_fast.vo create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_min_1200mv_0c_v_fast.sdo create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_modelsim.xrf create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_v.sdo create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/hdmi/encode.v create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/hdmi/hdmi_ctrl.v create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/hdmi/par_to_ser.v create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/hdmi_colorbar.v create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/vga_ctrl.v create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/vga_pic.v create mode 100644 smh-ac415-fpga/examples/07_hdmi/hdmi/sim/tb_hdmi_colorbar.v (limited to 'smh-ac415-fpga/examples/07_hdmi/hdmi') diff --git "a/smh-ac415-fpga/examples/07_hdmi/hdmi/doc/VESA VGA\346\227\266\345\272\217\346\240\207\345\207\206.pdf" "b/smh-ac415-fpga/examples/07_hdmi/hdmi/doc/VESA VGA\346\227\266\345\272\217\346\240\207\345\207\206.pdf" new file mode 100644 index 0000000..b0b4015 Binary files /dev/null and "b/smh-ac415-fpga/examples/07_hdmi/hdmi/doc/VESA VGA\346\227\266\345\272\217\346\240\207\345\207\206.pdf" differ diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/doc/hdmi_colorbar.vsdx b/smh-ac415-fpga/examples/07_hdmi/hdmi/doc/hdmi_colorbar.vsdx new file mode 100644 index 0000000..4438105 Binary files /dev/null and b/smh-ac415-fpga/examples/07_hdmi/hdmi/doc/hdmi_colorbar.vsdx differ diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/PLLJ_PLLSPE_INFO.txt b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/PLLJ_PLLSPE_INFO.txt new file mode 100644 index 0000000..0aa6c27 --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/PLLJ_PLLSPE_INFO.txt @@ -0,0 +1,5 @@ +PLL_Name clk_gen:clk_gen_inst|altpll:altpll_component|clk_gen_altpll:auto_generated|pll1 +PLLJITTER 35 +PLLSPEmax 84 +PLLSPEmin -53 + diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ddio_out.qip b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ddio_out.qip new file mode 100644 index 0000000..e69de29 diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/greybox_tmp/cbx_args.txt b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/greybox_tmp/cbx_args.txt new file mode 100644 index 0000000..0d488fd --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/greybox_tmp/cbx_args.txt @@ -0,0 +1,13 @@ +INTENDED_DEVICE_FAMILY="Cyclone IV E" +INVERT_OUTPUT=OFF +LPM_HINT=UNUSED +LPM_TYPE=altddio_out +POWER_UP_HIGH=OFF +WIDTH=1 +DEVICE_FAMILY="Cyclone IV E" +CBX_AUTO_BLACKBOX=ALL +CBX_AUTO_BLACKBOX=ALL +datain_h +datain_l +dataout +outclock diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qpf b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qpf new file mode 100644 index 0000000..e0542a7 --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qpf @@ -0,0 +1,30 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2013 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 64-Bit +# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version +# Date created = 11:19:29 March 05, 2020 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "13.0" +DATE = "11:19:29 March 05, 2020" + +# Revisions + +PROJECT_REVISION = "hdmi_colorbar" diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qsf b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qsf new file mode 100644 index 0000000..1bf5998 --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qsf @@ -0,0 +1,98 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2013 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 64-Bit +# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version +# Date created = 11:19:29 March 05, 2020 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# hdmi_colorbar_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus II software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "Cyclone IV E" +set_global_assignment -name DEVICE EP4CE15F23C8 +set_global_assignment -name TOP_LEVEL_ENTITY hdmi_colorbar +set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1" +set_global_assignment -name PROJECT_CREATION_TIME_DATE "11:19:29 MARCH 05, 2020" +set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 +set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim (Verilog)" +set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation +set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation +set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" +set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" + +set_location_assignment PIN_T22 -to sys_clk +set_location_assignment PIN_U20 -to sys_rst_n + +set_location_assignment PIN_H22 -to tmds_clk_n +set_location_assignment PIN_H21 -to tmds_clk_p +set_location_assignment PIN_D22 -to tmds_data_n[2] +set_location_assignment PIN_E22 -to tmds_data_n[1] +set_location_assignment PIN_F22 -to tmds_data_n[0] +set_location_assignment PIN_D21 -to tmds_data_p[2] +set_location_assignment PIN_E21 -to tmds_data_p[1] +set_location_assignment PIN_F21 -to tmds_data_p[0] + +set_location_assignment PIN_N22 -to ddc_scl +set_location_assignment PIN_R22 -to ddc_sda + +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS TEST_BENCH_MODE -section_id eda_simulation +set_global_assignment -name EDA_NATIVELINK_SIMULATION_TEST_BENCH tb_hdmi_colorbar -section_id eda_simulation +set_global_assignment -name EDA_TEST_BENCH_NAME tb_hdmi_colorbar -section_id eda_simulation +set_global_assignment -name EDA_DESIGN_INSTANCE_NAME NA -section_id tb_hdmi_colorbar +set_global_assignment -name EDA_TEST_BENCH_RUN_SIM_FOR "1 us" -section_id tb_hdmi_colorbar +set_global_assignment -name EDA_TEST_BENCH_MODULE_NAME tb_hdmi_colorbar -section_id tb_hdmi_colorbar +set_global_assignment -name EDA_TEST_BENCH_FILE ../sim/tb_hdmi_colorbar.v -section_id tb_hdmi_colorbar +set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "2.5 V" +set_global_assignment -name VERILOG_FILE ../sim/tb_hdmi_colorbar.v +set_global_assignment -name VERILOG_FILE ../rtl/hdmi/encode.v +set_global_assignment -name VERILOG_FILE ../rtl/hdmi/par_to_ser.v +set_global_assignment -name VERILOG_FILE ../rtl/hdmi/hdmi_ctrl.v +set_global_assignment -name VERILOG_FILE ../rtl/vga_pic.v +set_global_assignment -name VERILOG_FILE ../rtl/vga_ctrl.v +set_global_assignment -name VERILOG_FILE ../rtl/hdmi_colorbar.v +set_global_assignment -name QIP_FILE ip_core/ddio_out/ddio_out.qip +set_global_assignment -name QIP_FILE ip_core/clk_gen/clk_gen.qip +set_global_assignment -name CDF_FILE output_files/Chain1.cdf +set_global_assignment -name USE_CONFIGURATION_DEVICE OFF +set_global_assignment -name CRC_ERROR_OPEN_DRAIN OFF +set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO" +set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -rise +set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -fall +set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -rise +set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -fall +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qws b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qws new file mode 100644 index 0000000..27fd4ea Binary files /dev/null and b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar.qws differ diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar_assignment_defaults.qdf b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar_assignment_defaults.qdf new file mode 100644 index 0000000..020bb6d --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/hdmi_colorbar_assignment_defaults.qdf @@ -0,0 +1,805 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2013 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 64-Bit +# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version +# Date created = 04:08:48 June 02, 2023 +# +# -------------------------------------------------------------------------- # +# +# Note: +# +# 1) Do not modify this file. This file was generated +# automatically by the Quartus II software and is used +# to preserve global assignments across Quartus II versions. +# +# -------------------------------------------------------------------------- # + +set_global_assignment -name PROJECT_SHOW_ENTITY_NAME On +set_global_assignment -name PROJECT_USE_SIMPLIFIED_NAMES Off +set_global_assignment -name ENABLE_REDUCED_MEMORY_MODE Off +set_global_assignment -name VER_COMPATIBLE_DB_DIR export_db +set_global_assignment -name AUTO_EXPORT_VER_COMPATIBLE_DB Off +set_global_assignment -name SMART_RECOMPILE Off +set_global_assignment -name FLOW_DISABLE_ASSEMBLER Off +set_global_assignment -name FLOW_ENABLE_POWER_ANALYZER Off +set_global_assignment -name FLOW_ENABLE_HC_COMPARE Off +set_global_assignment -name HC_OUTPUT_DIR hc_output +set_global_assignment -name SAVE_MIGRATION_INFO_DURING_COMPILATION Off +set_global_assignment -name FLOW_ENABLE_IO_ASSIGNMENT_ANALYSIS Off +set_global_assignment -name RUN_FULL_COMPILE_ON_DEVICE_CHANGE On +set_global_assignment -name FLOW_ENABLE_RTL_VIEWER Off +set_global_assignment -name READ_OR_WRITE_IN_BYTE_ADDRESS "Use global settings" +set_global_assignment -name FLOW_HARDCOPY_DESIGN_READINESS_CHECK On +set_global_assignment -name FLOW_ENABLE_PARALLEL_MODULES On +set_global_assignment -name ENABLE_COMPACT_REPORT_TABLE Off +set_global_assignment -name REVISION_TYPE Base +set_global_assignment -name DEFAULT_HOLD_MULTICYCLE "Same as Multicycle" +set_global_assignment -name CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINS On +set_global_assignment -name CUT_OFF_READ_DURING_WRITE_PATHS On +set_global_assignment -name CUT_OFF_IO_PIN_FEEDBACK On +set_global_assignment -name DO_COMBINED_ANALYSIS Off +set_global_assignment -name TDC_AGGRESSIVE_HOLD_CLOSURE_EFFORT Off +set_global_assignment -name ANALYZE_LATCHES_AS_SYNCHRONOUS_ELEMENTS On +set_global_assignment -name TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS On +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family MAX7000B +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Arria V" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "HardCopy II" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Cyclone IV E" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Stratix IV" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Cyclone III" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family MAX7000AE +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family "MAX V" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family Cyclone +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Stratix II GX" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Stratix V" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Arria V GZ" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family "MAX II" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Arria II GX" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Arria II GZ" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family "Stratix GX" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "HardCopy III" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family MAX7000S +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Cyclone II" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Cyclone IV GX" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "HardCopy IV" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Cyclone III LS" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Stratix III" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Arria GX" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family MAX3000A +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Stratix II" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Cyclone V" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family Stratix +set_global_assignment -name TIMEQUEST_DO_REPORT_TIMING Off +set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS On -family MAX7000B +set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria V" +set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS Off -family "HardCopy II" +set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix IV" +set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone IV E" +set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone III" +set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS On -family MAX7000AE +set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix II GX" +set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS On -family "MAX V" +set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS On -family Cyclone +set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix V" +set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria V GZ" +set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS On -family "MAX II" +set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria II GX" +set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix GX" +set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria II GZ" +set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS Off -family "HardCopy III" +set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS On -family MAX7000S +set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone II" +set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone IV GX" +set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS Off -family "HardCopy IV" +set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone III LS" +set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix III" +set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria GX" +set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS On -family MAX3000A +set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix II" +set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS Off -family Stratix +set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS Off -family "Cyclone V" +set_global_assignment -name TIMEQUEST_REPORT_NUM_WORST_CASE_TIMING_PATHS 100 +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family MAX7000B +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Arria V" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "HardCopy II" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Cyclone IV E" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Stratix IV" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Cyclone III" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family MAX7000AE +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "MAX V" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family Cyclone +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "Stratix II GX" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Stratix V" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Arria V GZ" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "MAX II" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Arria II GX" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "Stratix GX" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Arria II GZ" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family MAX7000S +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "HardCopy III" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "Cyclone II" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Cyclone IV GX" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "HardCopy IV" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Cyclone III LS" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Stratix III" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "Arria GX" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family MAX3000A +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "Stratix II" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Cyclone V" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family Stratix +set_global_assignment -name MUX_RESTRUCTURE Auto +set_global_assignment -name MLAB_ADD_TIMING_CONSTRAINTS_FOR_MIXED_PORT_FEED_THROUGH_MODE_SETTING_DONT_CARE Off +set_global_assignment -name ENABLE_IP_DEBUG Off +set_global_assignment -name SAVE_DISK_SPACE On +set_global_assignment -name DISABLE_OCP_HW_EVAL Off +set_global_assignment -name DEVICE_FILTER_PACKAGE Any +set_global_assignment -name DEVICE_FILTER_PIN_COUNT Any +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE Any +set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "" +set_global_assignment -name VERILOG_INPUT_VERSION Verilog_2001 +set_global_assignment -name VHDL_INPUT_VERSION VHDL_1993 +set_global_assignment -name FAMILY "Cyclone IV GX" +set_global_assignment -name TRUE_WYSIWYG_FLOW Off +set_global_assignment -name SMART_COMPILE_IGNORES_TDC_FOR_STRATIX_PLL_CHANGES Off +set_global_assignment -name STATE_MACHINE_PROCESSING Auto +set_global_assignment -name SAFE_STATE_MACHINE Off +set_global_assignment -name EXTRACT_VERILOG_STATE_MACHINES On +set_global_assignment -name EXTRACT_VHDL_STATE_MACHINES On +set_global_assignment -name IGNORE_VERILOG_INITIAL_CONSTRUCTS Off +set_global_assignment -name VERILOG_CONSTANT_LOOP_LIMIT 5000 +set_global_assignment -name VERILOG_NON_CONSTANT_LOOP_LIMIT 250 +set_global_assignment -name INFER_RAMS_FROM_RAW_LOGIC On +set_global_assignment -name PARALLEL_SYNTHESIS On +set_global_assignment -name DSP_BLOCK_BALANCING Auto +set_global_assignment -name MAX_BALANCING_DSP_BLOCKS "-1 (Unlimited)" +set_global_assignment -name NOT_GATE_PUSH_BACK On +set_global_assignment -name ALLOW_POWER_UP_DONT_CARE On +set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS Off +set_global_assignment -name REMOVE_DUPLICATE_REGISTERS On +set_global_assignment -name IGNORE_CARRY_BUFFERS Off +set_global_assignment -name IGNORE_CASCADE_BUFFERS Off +set_global_assignment -name IGNORE_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_ROW_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_LCELL_BUFFERS Off +set_global_assignment -name MAX7000_IGNORE_LCELL_BUFFERS AUTO +set_global_assignment -name IGNORE_SOFT_BUFFERS On +set_global_assignment -name MAX7000_IGNORE_SOFT_BUFFERS Off +set_global_assignment -name LIMIT_AHDL_INTEGERS_TO_32_BITS Off +set_global_assignment -name AUTO_GLOBAL_CLOCK_MAX On +set_global_assignment -name AUTO_GLOBAL_OE_MAX On +set_global_assignment -name MAX_AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name AUTO_IMPLEMENT_IN_ROM Off +set_global_assignment -name APEX20K_TECHNOLOGY_MAPPER Lut +set_global_assignment -name OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAX7000_OPTIMIZATION_TECHNIQUE Speed +set_global_assignment -name APEX20K_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MERCURY_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX6K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX10K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name ALLOW_XOR_GATE_USAGE On +set_global_assignment -name AUTO_LCELL_INSERTION On +set_global_assignment -name CARRY_CHAIN_LENGTH 48 +set_global_assignment -name FLEX6K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name FLEX10K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name MERCURY_CARRY_CHAIN_LENGTH 48 +set_global_assignment -name STRATIX_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name STRATIXII_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name CASCADE_CHAIN_LENGTH 2 +set_global_assignment -name PARALLEL_EXPANDER_CHAIN_LENGTH 16 +set_global_assignment -name MAX7000_PARALLEL_EXPANDER_CHAIN_LENGTH 4 +set_global_assignment -name AUTO_CARRY_CHAINS On +set_global_assignment -name AUTO_CASCADE_CHAINS On +set_global_assignment -name AUTO_PARALLEL_EXPANDERS On +set_global_assignment -name AUTO_OPEN_DRAIN_PINS On +set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP Off +set_global_assignment -name AUTO_ROM_RECOGNITION On +set_global_assignment -name AUTO_RAM_RECOGNITION On +set_global_assignment -name AUTO_DSP_RECOGNITION On +set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION Auto +set_global_assignment -name ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES Auto +set_global_assignment -name AUTO_CLOCK_ENABLE_RECOGNITION On +set_global_assignment -name STRICT_RAM_RECOGNITION Off +set_global_assignment -name ALLOW_SYNCH_CTRL_USAGE On +set_global_assignment -name FORCE_SYNCH_CLEAR Off +set_global_assignment -name AUTO_RAM_BLOCK_BALANCING On +set_global_assignment -name AUTO_RAM_TO_LCELL_CONVERSION Off +set_global_assignment -name AUTO_RESOURCE_SHARING Off +set_global_assignment -name ALLOW_ANY_RAM_SIZE_FOR_RECOGNITION Off +set_global_assignment -name ALLOW_ANY_ROM_SIZE_FOR_RECOGNITION Off +set_global_assignment -name ALLOW_ANY_SHIFT_REGISTER_SIZE_FOR_RECOGNITION Off +set_global_assignment -name MAX7000_FANIN_PER_CELL 100 +set_global_assignment -name USE_LOGICLOCK_CONSTRAINTS_IN_BALANCING On +set_global_assignment -name MAX_RAM_BLOCKS_M512 "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_M4K "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_MRAM "-1 (Unlimited)" +set_global_assignment -name IGNORE_TRANSLATE_OFF_AND_SYNTHESIS_OFF Off +set_global_assignment -name STRATIXGX_BYPASS_REMAPPING_OF_FORCE_SIGNAL_DETECT_SIGNAL_THRESHOLD_SELECT Off +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GZ" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "HardCopy III" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS Off -family "Cyclone II" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS Off -family "HardCopy II" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV GX" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix IV" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV E" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "HardCopy IV" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone III" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone III LS" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix III" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria VI" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix VI" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS Off -family "Arria GX" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS Off -family "Stratix II GX" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS Off -family "Stratix II" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V GZ" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GX" +set_global_assignment -name REPORT_PARAMETER_SETTINGS On +set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS On +set_global_assignment -name REPORT_CONNECTIVITY_CHECKS On +set_global_assignment -name IGNORE_MAX_FANOUT_ASSIGNMENTS Off +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "HardCopy II" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV E" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix IV" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone III" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix VI" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family Cyclone +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Stratix II GX" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX II" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V GZ" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GX" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Stratix GX" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GZ" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "HardCopy III" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone II" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV GX" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "HardCopy IV" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone III LS" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Stratix III" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria VI" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Arria GX" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Stratix II" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family Stratix +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Cyclone V" +set_global_assignment -name OPTIMIZE_POWER_DURING_SYNTHESIS "Normal compilation" +set_global_assignment -name HDL_MESSAGE_LEVEL Level2 +set_global_assignment -name USE_HIGH_SPEED_ADDER Auto +set_global_assignment -name NUMBER_OF_REMOVED_REGISTERS_REPORTED 5000 +set_global_assignment -name NUMBER_OF_SWEPT_NODES_REPORTED 5000 +set_global_assignment -name NUMBER_OF_INVERTED_REGISTERS_REPORTED 100 +set_global_assignment -name SYNTH_CLOCK_MUX_PROTECTION On +set_global_assignment -name SYNTH_GATED_CLOCK_CONVERSION Off +set_global_assignment -name BLOCK_DESIGN_NAMING Auto +set_global_assignment -name SYNTH_PROTECT_SDC_CONSTRAINT Off +set_global_assignment -name SYNTHESIS_EFFORT Auto +set_global_assignment -name SHIFT_REGISTER_RECOGNITION_ACLR_SIGNAL On +set_global_assignment -name PRE_MAPPING_RESYNTHESIS Off +set_global_assignment -name SYNTH_MESSAGE_LEVEL Medium +set_global_assignment -name DISABLE_REGISTER_MERGING_ACROSS_HIERARCHIES Auto +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GZ" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "HardCopy III" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone II" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "HardCopy II" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV GX" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix IV" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV E" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "HardCopy IV" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone III LS" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone III" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria VI" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix III" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix VI" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family Cyclone +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix II" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V GZ" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family Stratix +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GX" +set_global_assignment -name MAX_LABS "-1 (Unlimited)" +set_global_assignment -name RBCGEN_CRITICAL_WARNING_TO_ERROR On +set_global_assignment -name SYNTHESIS_SEED 1 +set_global_assignment -name MAX_NUMBER_OF_REGISTERS_FROM_UNINFERRED_RAMS "-1 (Unlimited)" +set_global_assignment -name AUTO_PARALLEL_SYNTHESIS Off +set_global_assignment -name FLEX10K_ENABLE_LOCK_OUTPUT Off +set_global_assignment -name AUTO_MERGE_PLLS On +set_global_assignment -name IGNORE_MODE_FOR_MERGE Off +set_global_assignment -name TXPMA_SLEW_RATE Low +set_global_assignment -name ADCE_ENABLED Auto +set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL Normal +set_global_assignment -name ROUTER_CLOCKING_TOPOLOGY_ANALYSIS Off +set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name FIT_ATTEMPTS_TO_SKIP 0.0 +set_global_assignment -name ECO_ALLOW_ROUTING_CHANGES Off +set_global_assignment -name DEVICE AUTO +set_global_assignment -name BASE_PIN_OUT_FILE_ON_SAMEFRAME_DEVICE Off +set_global_assignment -name ENABLE_JTAG_BST_SUPPORT Off +set_global_assignment -name MAX7000_ENABLE_JTAG_BST_SUPPORT On +set_global_assignment -name ENABLE_NCEO_OUTPUT Off +set_global_assignment -name RESERVE_NCEO_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name STRATIXIII_UPDATE_MODE Standard +set_global_assignment -name STRATIX_UPDATE_MODE Standard +set_global_assignment -name CVP_MODE Off +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name STRATIXIII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name STRATIXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONEII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name APEX20K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name STRATIX_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONE_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name MERCURY_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX6K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX10K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name APEXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name USER_START_UP_CLOCK Off +set_global_assignment -name DEVICE_INITIALIZATION_CLOCK INIT_INTOSC +set_global_assignment -name ENABLE_VREFA_PIN Off +set_global_assignment -name ENABLE_VREFB_PIN Off +set_global_assignment -name ALWAYS_ENABLE_INPUT_BUFFERS Off +set_global_assignment -name ENABLE_ASMI_FOR_FLASH_LOADER Off +set_global_assignment -name ENABLE_DEVICE_WIDE_RESET Off +set_global_assignment -name ENABLE_DEVICE_WIDE_OE Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS "As output driving ground" +set_global_assignment -name ENABLE_INIT_DONE_OUTPUT Off +set_global_assignment -name INIT_DONE_OPEN_DRAIN On +set_global_assignment -name RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_RDYNBUSY_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA31_THROUGH_DATA16_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA15_THROUGH_DATA8_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "Use as regular IO" -family "Cyclone II" +set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "Use as regular IO" -family Cyclone +set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "Use as regular IO" -family "Stratix II GX" +set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "Use as regular IO" -family "HardCopy II" +set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "Use as regular IO" -family "Arria GX" +set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "Use as regular IO" -family "Stratix II" +set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA2_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA5_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_OTHER_AP_PINS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name CRC_ERROR_CHECKING Off +set_global_assignment -name INTERNAL_SCRUBBING Off +set_global_assignment -name PR_ERROR_OPEN_DRAIN On +set_global_assignment -name PR_READY_OPEN_DRAIN On +set_global_assignment -name ENABLE_CVP_CONFDONE Off +set_global_assignment -name CVP_CONFDONE_OPEN_DRAIN On +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GZ" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "Stratix GX" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "HardCopy III" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "Cyclone II" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "HardCopy II" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV GX" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix IV" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV E" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "HardCopy IV" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone III LS" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone III" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix III" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria VI" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix VI" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria GX" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family Cyclone +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "Stratix II GX" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "Stratix II" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX II" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V GZ" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family Stratix +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family MAX7000B +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "HardCopy II" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV E" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix IV" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone III" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "Stratix VI" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family MAX7000AE +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family Cyclone +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V GZ" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX II" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "Stratix GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GZ" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family MAX7000S +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "HardCopy III" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone II" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "HardCopy IV" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone III LS" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "Arria VI" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix III" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family MAX3000A +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix II" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family Stratix +set_global_assignment -name BLOCK_RAM_TO_MLAB_CELL_CONVERSION On +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_POWER_UP_CONDITIONS Auto +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_PAUSED_READ_CAPABILITIES Care +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic +set_global_assignment -name PROGRAMMABLE_POWER_MAXIMUM_HIGH_SPEED_FRACTION_OF_USED_LAB_TILES 1.0 +set_global_assignment -name GUARANTEE_MIN_DELAY_CORNER_IO_ZERO_HOLD_TIME On +set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING "Normal compilation" +set_global_assignment -name OPTIMIZE_SSN Off +set_global_assignment -name OPTIMIZE_TIMING "Normal compilation" +set_global_assignment -name ECO_OPTIMIZE_TIMING Off +set_global_assignment -name ECO_REGENERATE_REPORT Off +set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING Normal +set_global_assignment -name FIT_ONLY_ONE_ATTEMPT Off +set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION Automatically +set_global_assignment -name FITTER_AGGRESSIVE_ROUTABILITY_OPTIMIZATION Automatically +set_global_assignment -name SEED 1 +set_global_assignment -name SLOW_SLEW_RATE Off +set_global_assignment -name PCI_IO Off +set_global_assignment -name TURBO_BIT On +set_global_assignment -name WEAK_PULL_UP_RESISTOR Off +set_global_assignment -name ENABLE_BUS_HOLD_CIRCUITRY Off +set_global_assignment -name AUTO_GLOBAL_MEMORY_CONTROLS Off +set_global_assignment -name MIGRATION_CONSTRAIN_CORE_RESOURCES On +set_global_assignment -name AUTO_PACKED_REGISTERS_STRATIXII AUTO +set_global_assignment -name AUTO_PACKED_REGISTERS_MAXII AUTO +set_global_assignment -name AUTO_PACKED_REGISTERS_CYCLONE Auto +set_global_assignment -name AUTO_PACKED_REGISTERS Off +set_global_assignment -name AUTO_PACKED_REGISTERS_STRATIX AUTO +set_global_assignment -name NORMAL_LCELL_INSERT On +set_global_assignment -name CARRY_OUT_PINS_LCELL_INSERT On +set_global_assignment -name AUTO_DELAY_CHAINS On +set_global_assignment -name AUTO_DELAY_CHAINS_FOR_HIGH_FANOUT_INPUT_PINS OFF +set_global_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER Off +set_global_assignment -name TREAT_BIDIR_AS_OUTPUT Off +set_global_assignment -name AUTO_TURBO_BIT ON +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC Off +set_global_assignment -name PHYSICAL_SYNTHESIS_LOG_FILE Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION Off +set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING Off +set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING Off +set_global_assignment -name IO_PLACEMENT_OPTIMIZATION On +set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER Off +set_global_assignment -name OVERRIDE_DEFAULT_ELECTROMIGRATION_PARAMETERS Off +set_global_assignment -name FITTER_EFFORT "Auto Fit" +set_global_assignment -name FITTER_AUTO_EFFORT_DESIRED_SLACK_MARGIN 0ns +set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT Normal +set_global_assignment -name ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATION AUTO +set_global_assignment -name ROUTER_REGISTER_DUPLICATION AUTO +set_global_assignment -name STRATIXGX_ALLOW_CLOCK_FANOUT_WITH_ANALOG_RESET Off +set_global_assignment -name AUTO_GLOBAL_CLOCK On +set_global_assignment -name AUTO_GLOBAL_OE On +set_global_assignment -name AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name FITTER_EARLY_TIMING_ESTIMATE_MODE Realistic +set_global_assignment -name STRATIXGX_ALLOW_GIGE_UNDER_FULL_DATARATE_RANGE Off +set_global_assignment -name STRATIXGX_ALLOW_RX_CORECLK_FROM_NON_RX_CLKOUT_SOURCE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_PARALLEL_LOOPBACK_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_IN_SINGLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITHOUT_8B10B Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_POST8B10B_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_REVERSE_PARALLEL_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_USE_OF_GXB_COUPLED_IOS Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF_WITH_PLL Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_WEAK_PULLUP "As input tri-stated with weak pull-up" +set_global_assignment -name ENABLE_HOLD_BACK_OFF On +set_global_assignment -name CONFIGURATION_VCCIO_LEVEL Auto +set_global_assignment -name FORCE_CONFIGURATION_VCCIO Off +set_global_assignment -name SYNCHRONIZER_IDENTIFICATION Off +set_global_assignment -name ENABLE_BENEFICIAL_SKEW_OPTIMIZATION On +set_global_assignment -name OPTIMIZE_FOR_METASTABILITY On +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone IV E" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone III" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Stratix VI" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Stratix V" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V GZ" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "HardCopy III" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone III LS" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Stratix III" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria VI" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Cyclone V" +set_global_assignment -name MAX_GLOBAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_REGIONAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_PERIPHERY_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria VI" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Stratix VI" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Stratix V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Cyclone IV GX" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V GZ" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Arria II GX" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Cyclone V" +set_global_assignment -name M144K_BLOCK_READ_CLOCK_DUTY_CYCLE_DEPENDENCY Off +set_global_assignment -name STRATIXIII_MRAM_COMPATIBILITY On +set_global_assignment -name FORCE_FITTER_TO_AVOID_PERIPHERY_PLACEMENT_WARNINGS Off +set_global_assignment -name AUTO_C3_M9K_BIT_SKIP Off +set_global_assignment -name PR_DONE_OPEN_DRAIN On +set_global_assignment -name NCEO_OPEN_DRAIN On +set_global_assignment -name ENABLE_CRC_ERROR_PIN Off +set_global_assignment -name ENABLE_PR_PINS Off +set_global_assignment -name PR_PINS_OPEN_DRAIN Off +set_global_assignment -name CLAMPING_DIODE Off +set_global_assignment -name TRI_STATE_SPI_PINS Off +set_global_assignment -name UNUSED_TSD_PINS_GND Off +set_global_assignment -name IMPLEMENT_MLAB_IN_16_BIT_DEEP_MODE Off +set_global_assignment -name FORM_DDR_CLUSTERING_CLIQUE Off +set_global_assignment -name ALM_REGISTER_PACKING_EFFORT MEDIUM +set_global_assignment -name EDA_SIMULATION_TOOL "" +set_global_assignment -name EDA_TIMING_ANALYSIS_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TIMING_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SYMBOL_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SIGNAL_INTEGRITY_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_BOUNDARY_SCAN_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TOOL "" +set_global_assignment -name EDA_FORMAL_VERIFICATION_TOOL "" +set_global_assignment -name EDA_RESYNTHESIS_TOOL "" +set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION On +set_global_assignment -name COMPRESSION_MODE Off +set_global_assignment -name CLOCK_SOURCE Internal +set_global_assignment -name CONFIGURATION_CLOCK_FREQUENCY "10 MHz" +set_global_assignment -name CONFIGURATION_CLOCK_DIVISOR 1 +set_global_assignment -name ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE Off +set_global_assignment -name FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name MAX7000S_JTAG_USER_CODE FFFF +set_global_assignment -name STRATIX_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name APEX20K_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_JTAG_USER_CODE 7F +set_global_assignment -name MAX7000_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MAX7000_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name USE_CHECKSUM_AS_USERCODE On +set_global_assignment -name SECURITY_BIT Off +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family MAX7000B +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "HardCopy II" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Stratix IV" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV E" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone III" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family MAX7000AE +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX V" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family Cyclone +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "Stratix II GX" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX II" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GX" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "Stratix GX" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GZ" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family MAX7000S +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "HardCopy III" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "Cyclone II" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV GX" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "HardCopy IV" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone III LS" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Stratix III" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "Arria GX" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family MAX3000A +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "Stratix II" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family Stratix +set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIXII_CONFIGURATION_DEVICE Auto +set_global_assignment -name APEX20K_CONFIGURATION_DEVICE Auto +set_global_assignment -name MERCURY_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX6K_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX10K_CONFIGURATION_DEVICE Auto +set_global_assignment -name CYCLONE_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIX_CONFIGURATION_DEVICE Auto +set_global_assignment -name APEX20K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name STRATIX_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name EPROM_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE On +set_global_assignment -name DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE Off +set_global_assignment -name GENERATE_TTF_FILE Off +set_global_assignment -name GENERATE_RBF_FILE Off +set_global_assignment -name GENERATE_HEX_FILE Off +set_global_assignment -name HEXOUT_FILE_START_ADDRESS 0 +set_global_assignment -name HEXOUT_FILE_COUNT_DIRECTION Up +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "As output driving an unspecified signal" +set_global_assignment -name RELEASE_CLEARS_BEFORE_TRI_STATES Off +set_global_assignment -name AUTO_RESTART_CONFIGURATION On +set_global_assignment -name HARDCOPYII_POWER_ON_EXTRA_DELAY Off +set_global_assignment -name STRATIXII_MRAM_COMPATIBILITY Off +set_global_assignment -name CYCLONEII_M4K_COMPATIBILITY On +set_global_assignment -name ENABLE_OCT_DONE Off +set_global_assignment -name USE_CHECKERED_PATTERN_AS_UNINITIALIZED_RAM_CONTENT OFF +set_global_assignment -name ARRIAIIGX_RX_CDR_LOCKUP_FIX_OVERRIDE Off +set_global_assignment -name ENABLE_AUTONOMOUS_PCIE_HIP Off +set_global_assignment -name START_TIME 0ns +set_global_assignment -name SIMULATION_MODE TIMING +set_global_assignment -name AUTO_USE_SIMULATION_PDB_NETLIST Off +set_global_assignment -name ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS On +set_global_assignment -name SETUP_HOLD_DETECTION Off +set_global_assignment -name SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off +set_global_assignment -name CHECK_OUTPUTS Off +set_global_assignment -name SIMULATION_COVERAGE On +set_global_assignment -name SIMULATION_COMPLETE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_1_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_0_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name GLITCH_DETECTION Off +set_global_assignment -name GLITCH_INTERVAL 1ns +set_global_assignment -name SIMULATOR_GENERATE_SIGNAL_ACTIVITY_FILE Off +set_global_assignment -name SIMULATION_WITH_GLITCH_FILTERING_WHEN_GENERATING_SAF On +set_global_assignment -name SIMULATION_BUS_CHANNEL_GROUPING Off +set_global_assignment -name SIMULATION_VDB_RESULT_FLUSH On +set_global_assignment -name VECTOR_COMPARE_TRIGGER_MODE INPUT_EDGE +set_global_assignment -name SIMULATION_NETLIST_VIEWER Off +set_global_assignment -name SIMULATION_INTERCONNECT_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATION_CELL_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATOR_GENERATE_POWERPLAY_VCD_FILE Off +set_global_assignment -name SIMULATOR_PVT_TIMING_MODEL_TYPE AUTO +set_global_assignment -name SIMULATION_WITH_AUTO_GLITCH_FILTERING AUTO +set_global_assignment -name DRC_TOP_FANOUT 50 +set_global_assignment -name DRC_FANOUT_EXCEEDING 30 +set_global_assignment -name DRC_GATED_CLOCK_FEED 30 +set_global_assignment -name HARDCOPY_FLOW_AUTOMATION MIGRATION_ONLY +set_global_assignment -name ENABLE_DRC_SETTINGS Off +set_global_assignment -name CLK_RULE_CLKNET_CLKSPINES_THRESHOLD 25 +set_global_assignment -name DRC_DETAIL_MESSAGE_LIMIT 10 +set_global_assignment -name DRC_VIOLATION_MESSAGE_LIMIT 30 +set_global_assignment -name DRC_DEADLOCK_STATE_LIMIT 2 +set_global_assignment -name MERGE_HEX_FILE Off +set_global_assignment -name GENERATE_SVF_FILE Off +set_global_assignment -name GENERATE_ISC_FILE Off +set_global_assignment -name GENERATE_JAM_FILE Off +set_global_assignment -name GENERATE_JBC_FILE Off +set_global_assignment -name GENERATE_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_SVF_FILE Off +set_global_assignment -name GENERATE_CONFIG_ISC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JAM_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_HEXOUT_FILE Off +set_global_assignment -name ISP_CLAMP_STATE_DEFAULT "Tri-state" +set_global_assignment -name SIGNALPROBE_ALLOW_OVERUSE Off +set_global_assignment -name SIGNALPROBE_DURING_NORMAL_COMPILATION Off +set_global_assignment -name POWER_DEFAULT_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_USE_PVA On +set_global_assignment -name POWER_USE_INPUT_FILE "No File" +set_global_assignment -name POWER_USE_INPUT_FILES Off +set_global_assignment -name POWER_VCD_FILTER_GLITCHES On +set_global_assignment -name POWER_REPORT_SIGNAL_ACTIVITY Off +set_global_assignment -name POWER_REPORT_POWER_DISSIPATION Off +set_global_assignment -name POWER_USE_DEVICE_CHARACTERISTICS TYPICAL +set_global_assignment -name POWER_AUTO_COMPUTE_TJ On +set_global_assignment -name POWER_TJ_VALUE 25 +set_global_assignment -name POWER_USE_TA_VALUE 25 +set_global_assignment -name POWER_USE_CUSTOM_COOLING_SOLUTION Off +set_global_assignment -name POWER_BOARD_TEMPERATURE 25 +set_global_assignment -name POWER_HPS_ENABLE Off +set_global_assignment -name POWER_HPS_PROC_FREQ 0.0 +set_global_assignment -name IGNORE_PARTITIONS Off +set_global_assignment -name AUTO_EXPORT_INCREMENTAL_COMPILATION Off +set_global_assignment -name OUTPUT_IO_TIMING_ENDPOINT "Near End" +set_global_assignment -name RTLV_REMOVE_FANOUT_FREE_REGISTERS On +set_global_assignment -name RTLV_SIMPLIFIED_LOGIC On +set_global_assignment -name RTLV_GROUP_RELATED_NODES On +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD Off +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD_TMV Off +set_global_assignment -name RTLV_GROUP_RELATED_NODES_TMV On +set_global_assignment -name EQC_CONSTANT_DFF_DETECTION On +set_global_assignment -name EQC_DUPLICATE_DFF_DETECTION On +set_global_assignment -name EQC_BBOX_MERGE On +set_global_assignment -name EQC_LVDS_MERGE On +set_global_assignment -name EQC_RAM_UNMERGING On +set_global_assignment -name EQC_DFF_SS_EMULATION On +set_global_assignment -name EQC_RAM_REGISTER_UNPACK On +set_global_assignment -name EQC_MAC_REGISTER_UNPACK On +set_global_assignment -name EQC_SET_PARTITION_BB_TO_VCC_GND On +set_global_assignment -name EQC_STRUCTURE_MATCHING On +set_global_assignment -name EQC_AUTO_BREAK_CONE On +set_global_assignment -name EQC_POWER_UP_COMPARE Off +set_global_assignment -name EQC_AUTO_COMP_LOOP_CUT On +set_global_assignment -name EQC_AUTO_INVERSION On +set_global_assignment -name EQC_AUTO_TERMINATE On +set_global_assignment -name EQC_SUB_CONE_REPORT Off +set_global_assignment -name EQC_RENAMING_RULES On +set_global_assignment -name EQC_PARAMETER_CHECK On +set_global_assignment -name EQC_AUTO_PORTSWAP On +set_global_assignment -name EQC_DETECT_DONT_CARES On +set_global_assignment -name EQC_SHOW_ALL_MAPPED_POINTS Off +set_global_assignment -name EDA_INPUT_GND_NAME GND -section_id ? +set_global_assignment -name EDA_INPUT_VCC_NAME VCC -section_id ? +set_global_assignment -name EDA_INPUT_DATA_FORMAT NONE -section_id ? +set_global_assignment -name EDA_SHOW_LMF_MAPPING_MESSAGES Off -section_id ? +set_global_assignment -name EDA_RUN_TOOL_AUTOMATICALLY Off -section_id ? +set_global_assignment -name RESYNTHESIS_RETIMING FULL -section_id ? +set_global_assignment -name RESYNTHESIS_OPTIMIZATION_EFFORT Normal -section_id ? +set_global_assignment -name RESYNTHESIS_PHYSICAL_SYNTHESIS Normal -section_id ? +set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS On -section_id ? +set_global_assignment -name VCCPD_VOLTAGE 3.3V -section_id ? +set_global_assignment -name EDA_USER_COMPILED_SIMULATION_LIBRARY_DIRECTORY "" -section_id ? +set_global_assignment -name EDA_LAUNCH_CMD_LINE_TOOL Off -section_id ? +set_global_assignment -name EDA_ENABLE_IPUTF_MODE On -section_id ? +set_global_assignment -name EDA_NATIVELINK_PORTABLE_FILE_PATHS Off -section_id ? +set_global_assignment -name EDA_NATIVELINK_GENERATE_SCRIPT_ONLY Off -section_id ? +set_global_assignment -name EDA_WAIT_FOR_GUI_TOOL_COMPLETION Off -section_id ? +set_global_assignment -name EDA_TRUNCATE_LONG_HIERARCHY_PATHS Off -section_id ? +set_global_assignment -name EDA_FLATTEN_BUSES Off -section_id ? +set_global_assignment -name EDA_MAP_ILLEGAL_CHARACTERS Off -section_id ? +set_global_assignment -name EDA_GENERATE_TIMING_CLOSURE_DATA Off -section_id ? +set_global_assignment -name EDA_GENERATE_POWER_INPUT_FILE Off -section_id ? +set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS NOT_USED -section_id ? +set_global_assignment -name EDA_RTL_SIM_MODE NOT_USED -section_id ? +set_global_assignment -name EDA_MAINTAIN_DESIGN_HIERARCHY OFF -section_id ? +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST Off -section_id ? +set_global_assignment -name EDA_WRITE_DEVICE_CONTROL_PORTS Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_TCL_FILE Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_SIGNALS_TO_TCL_FILE "All Except Combinational Logic Element Outputs" -section_id ? +set_global_assignment -name EDA_ENABLE_GLITCH_FILTERING Off -section_id ? +set_global_assignment -name EDA_WRITE_NODES_FOR_POWER_ESTIMATION OFF -section_id ? +set_global_assignment -name EDA_SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off -section_id ? +set_global_assignment -name EDA_WRITER_DONT_WRITE_TOP_ENTITY Off -section_id ? +set_global_assignment -name EDA_VHDL_ARCH_NAME structure -section_id ? +set_global_assignment -name EDA_IBIS_MODEL_SELECTOR Off -section_id ? +set_global_assignment -name EDA_IBIS_MUTUAL_COUPLING Off -section_id ? +set_global_assignment -name EDA_FORMAL_VERIFICATION_ALLOW_RETIMING Off -section_id ? +set_global_assignment -name EDA_BOARD_BOUNDARY_SCAN_OPERATION PRE_CONFIG -section_id ? +set_global_assignment -name EDA_GENERATE_RTL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name EDA_GENERATE_GATE_LEVEL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name EDA_IBIS_SPECIFICATION_VERSION 4p1 -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_OFFSET 0ns -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_DUTY_CYCLE 50 -section_id ? +set_global_assignment -name APEX20K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MAX7K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MERCURY_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX6K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX10K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES On -section_id ? -entity ? +set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES Off -section_id ? -entity ? +set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST Off -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS On -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS On -section_id ? -entity ? +set_global_assignment -name ALLOW_MULTIPLE_PERSONAS Off -section_id ? -entity ? +set_global_assignment -name PARTITION_ASD_REGION_ID 1 -section_id ? -entity ? +set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS Off -section_id ? -entity ? +set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS On -section_id ? -entity ? +set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS On -section_id ? -entity ? +set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS On -section_id ? -entity ? +set_global_assignment -name MERGE_EQUIVALENT_INPUTS On -section_id ? -entity ? +set_global_assignment -name MERGE_EQUIVALENT_BIDIRS On -section_id ? -entity ? +set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS On -section_id ? -entity ? diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.ppf b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.ppf new file mode 100644 index 0000000..a0d0ea9 --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.ppf @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.qip b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.qip new file mode 100644 index 0000000..ec92e56 --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.qip @@ -0,0 +1,6 @@ +set_global_assignment -name IP_TOOL_NAME "ALTPLL" +set_global_assignment -name IP_TOOL_VERSION "13.0" +set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "clk_gen.v"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "clk_gen_inst.v"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "clk_gen_bb.v"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "clk_gen.ppf"] diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.v b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.v new file mode 100644 index 0000000..e76f314 --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.v @@ -0,0 +1,348 @@ +// megafunction wizard: %ALTPLL% +// GENERATION: STANDARD +// VERSION: WM1.0 +// MODULE: altpll + +// ============================================================ +// File Name: clk_gen.v +// Megafunction Name(s): +// altpll +// +// Simulation Library Files(s): +// altera_mf +// ============================================================ +// ************************************************************ +// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +// +// 13.0.1 Build 232 06/12/2013 SP 1 SJ Full Version +// ************************************************************ + + +//Copyright (C) 1991-2013 Altera Corporation +//Your use of Altera Corporation's design tools, logic functions +//and other software and tools, and its AMPP partner logic +//functions, and any output files from any of the foregoing +//(including device programming or simulation files), and any +//associated documentation or information are expressly subject +//to the terms and conditions of the Altera Program License +//Subscription Agreement, Altera MegaCore Function License +//Agreement, or other applicable license agreement, including, +//without limitation, that your use is for the sole purpose of +//programming logic devices manufactured by Altera and sold by +//Altera or its authorized distributors. Please refer to the +//applicable agreement for further details. + + +// synopsys translate_off +`timescale 1 ps / 1 ps +// synopsys translate_on +module clk_gen ( + areset, + inclk0, + c0, + c1, + locked); + + input areset; + input inclk0; + output c0; + output c1; + output locked; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_off +`endif + tri0 areset; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_on +`endif + + wire [4:0] sub_wire0; + wire sub_wire2; + wire [0:0] sub_wire6 = 1'h0; + wire [0:0] sub_wire3 = sub_wire0[0:0]; + wire [1:1] sub_wire1 = sub_wire0[1:1]; + wire c1 = sub_wire1; + wire locked = sub_wire2; + wire c0 = sub_wire3; + wire sub_wire4 = inclk0; + wire [1:0] sub_wire5 = {sub_wire6, sub_wire4}; + + altpll altpll_component ( + .areset (areset), + .inclk (sub_wire5), + .clk (sub_wire0), + .locked (sub_wire2), + .activeclock (), + .clkbad (), + .clkena ({6{1'b1}}), + .clkloss (), + .clkswitch (1'b0), + .configupdate (1'b0), + .enable0 (), + .enable1 (), + .extclk (), + .extclkena ({4{1'b1}}), + .fbin (1'b1), + .fbmimicbidir (), + .fbout (), + .fref (), + .icdrclk (), + .pfdena (1'b1), + .phasecounterselect ({4{1'b1}}), + .phasedone (), + .phasestep (1'b1), + .phaseupdown (1'b1), + .pllena (1'b1), + .scanaclr (1'b0), + .scanclk (1'b0), + .scanclkena (1'b1), + .scandata (1'b0), + .scandataout (), + .scandone (), + .scanread (1'b0), + .scanwrite (1'b0), + .sclkout0 (), + .sclkout1 (), + .vcooverrange (), + .vcounderrange ()); + defparam + altpll_component.bandwidth_type = "AUTO", + altpll_component.clk0_divide_by = 2, + altpll_component.clk0_duty_cycle = 50, + altpll_component.clk0_multiply_by = 1, + altpll_component.clk0_phase_shift = "0", + altpll_component.clk1_divide_by = 2, + altpll_component.clk1_duty_cycle = 50, + altpll_component.clk1_multiply_by = 5, + altpll_component.clk1_phase_shift = "0", + altpll_component.compensate_clock = "CLK0", + altpll_component.inclk0_input_frequency = 20000, + altpll_component.intended_device_family = "Cyclone IV E", + altpll_component.lpm_hint = "CBX_MODULE_PREFIX=clk_gen", + altpll_component.lpm_type = "altpll", + altpll_component.operation_mode = "NORMAL", + altpll_component.pll_type = "AUTO", + altpll_component.port_activeclock = "PORT_UNUSED", + altpll_component.port_areset = "PORT_USED", + altpll_component.port_clkbad0 = "PORT_UNUSED", + altpll_component.port_clkbad1 = "PORT_UNUSED", + altpll_component.port_clkloss = "PORT_UNUSED", + altpll_component.port_clkswitch = "PORT_UNUSED", + altpll_component.port_configupdate = "PORT_UNUSED", + altpll_component.port_fbin = "PORT_UNUSED", + altpll_component.port_inclk0 = "PORT_USED", + altpll_component.port_inclk1 = "PORT_UNUSED", + altpll_component.port_locked = "PORT_USED", + altpll_component.port_pfdena = "PORT_UNUSED", + altpll_component.port_phasecounterselect = "PORT_UNUSED", + altpll_component.port_phasedone = "PORT_UNUSED", + altpll_component.port_phasestep = "PORT_UNUSED", + altpll_component.port_phaseupdown = "PORT_UNUSED", + altpll_component.port_pllena = "PORT_UNUSED", + altpll_component.port_scanaclr = "PORT_UNUSED", + altpll_component.port_scanclk = "PORT_UNUSED", + altpll_component.port_scanclkena = "PORT_UNUSED", + altpll_component.port_scandata = "PORT_UNUSED", + altpll_component.port_scandataout = "PORT_UNUSED", + altpll_component.port_scandone = "PORT_UNUSED", + altpll_component.port_scanread = "PORT_UNUSED", + altpll_component.port_scanwrite = "PORT_UNUSED", + altpll_component.port_clk0 = "PORT_USED", + altpll_component.port_clk1 = "PORT_USED", + altpll_component.port_clk2 = "PORT_UNUSED", + altpll_component.port_clk3 = "PORT_UNUSED", + altpll_component.port_clk4 = "PORT_UNUSED", + altpll_component.port_clk5 = "PORT_UNUSED", + altpll_component.port_clkena0 = "PORT_UNUSED", + altpll_component.port_clkena1 = "PORT_UNUSED", + altpll_component.port_clkena2 = "PORT_UNUSED", + altpll_component.port_clkena3 = "PORT_UNUSED", + altpll_component.port_clkena4 = "PORT_UNUSED", + altpll_component.port_clkena5 = "PORT_UNUSED", + altpll_component.port_extclk0 = "PORT_UNUSED", + altpll_component.port_extclk1 = "PORT_UNUSED", + altpll_component.port_extclk2 = "PORT_UNUSED", + altpll_component.port_extclk3 = "PORT_UNUSED", + altpll_component.self_reset_on_loss_lock = "OFF", + altpll_component.width_clock = 5; + + +endmodule + +// ============================================================ +// CNX file retrieval info +// ============================================================ +// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" +// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" +// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1" +// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" +// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low" +// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1" +// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0" +// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" +// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" +// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0" +// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" +// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" +// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" +// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" +// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0" +// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "8" +// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1" +// Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "1" +// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" +// Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000" +// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "25.000000" +// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "125.000000" +// Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0" +// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" +// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" +// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0" +// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" +// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" +// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" +// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.000" +// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" +// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000" +// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" +// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" +// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" +// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" +// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" +// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1" +// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" +// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available" +// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" +// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" +// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "ps" +// Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any" +// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" +// Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0" +// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1" +// Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "1" +// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" +// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "25.00000000" +// Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "125.00000000" +// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1" +// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "1" +// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" +// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz" +// Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1" +// Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0" +// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000" +// Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000" +// Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0" +// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" +// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "ps" +// Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0" +// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "1" +// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" +// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" +// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" +// Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0" +// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" +// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" +// Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0" +// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" +// Retrieval info: PRIVATE: RECONFIG_FILE STRING "clk_gen.mif" +// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" +// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1" +// Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0" +// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" +// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" +// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" +// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" +// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" +// Retrieval info: PRIVATE: SPREAD_USE STRING "0" +// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" +// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" +// Retrieval info: PRIVATE: STICKY_CLK1 STRING "1" +// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" +// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1" +// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +// Retrieval info: PRIVATE: USE_CLK0 STRING "1" +// Retrieval info: PRIVATE: USE_CLK1 STRING "1" +// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" +// Retrieval info: PRIVATE: USE_CLKENA1 STRING "0" +// Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0" +// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" +// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all +// Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO" +// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "2" +// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" +// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1" +// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" +// Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "2" +// Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50" +// Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "5" +// Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0" +// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" +// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20000" +// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" +// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" +// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL" +// Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO" +// Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: SELF_RESET_ON_LOSS_LOCK STRING "OFF" +// Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5" +// Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]" +// Retrieval info: USED_PORT: areset 0 0 0 0 INPUT GND "areset" +// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0" +// Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1" +// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0" +// Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked" +// Retrieval info: CONNECT: @areset 0 0 0 0 areset 0 0 0 0 +// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 +// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 +// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 +// Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1 +// Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0 +// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen.ppf TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen.inc FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen.cmp FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen.bsf FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen_inst.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen_bb.v TRUE +// Retrieval info: LIB_FILE: altera_mf +// Retrieval info: CBX_MODULE_PREFIX: ON diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen_bb.v b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen_bb.v new file mode 100644 index 0000000..588471a --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen_bb.v @@ -0,0 +1,232 @@ +// megafunction wizard: %ALTPLL%VBB% +// GENERATION: STANDARD +// VERSION: WM1.0 +// MODULE: altpll + +// ============================================================ +// File Name: clk_gen.v +// Megafunction Name(s): +// altpll +// +// Simulation Library Files(s): +// altera_mf +// ============================================================ +// ************************************************************ +// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +// +// 13.0.1 Build 232 06/12/2013 SP 1 SJ Full Version +// ************************************************************ + +//Copyright (C) 1991-2013 Altera Corporation +//Your use of Altera Corporation's design tools, logic functions +//and other software and tools, and its AMPP partner logic +//functions, and any output files from any of the foregoing +//(including device programming or simulation files), and any +//associated documentation or information are expressly subject +//to the terms and conditions of the Altera Program License +//Subscription Agreement, Altera MegaCore Function License +//Agreement, or other applicable license agreement, including, +//without limitation, that your use is for the sole purpose of +//programming logic devices manufactured by Altera and sold by +//Altera or its authorized distributors. Please refer to the +//applicable agreement for further details. + +module clk_gen ( + areset, + inclk0, + c0, + c1, + locked); + + input areset; + input inclk0; + output c0; + output c1; + output locked; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_off +`endif + tri0 areset; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_on +`endif + +endmodule + +// ============================================================ +// CNX file retrieval info +// ============================================================ +// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" +// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" +// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1" +// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" +// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low" +// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1" +// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0" +// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" +// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" +// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0" +// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" +// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" +// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" +// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" +// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0" +// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "8" +// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1" +// Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "1" +// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" +// Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000" +// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "25.000000" +// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "125.000000" +// Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0" +// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" +// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" +// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0" +// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" +// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" +// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" +// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.000" +// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" +// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000" +// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" +// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" +// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" +// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" +// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" +// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1" +// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" +// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available" +// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" +// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" +// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "ps" +// Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any" +// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" +// Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0" +// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1" +// Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "1" +// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" +// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "25.00000000" +// Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "125.00000000" +// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1" +// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "1" +// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" +// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz" +// Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1" +// Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0" +// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000" +// Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000" +// Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0" +// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" +// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "ps" +// Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0" +// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "1" +// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" +// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" +// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" +// Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0" +// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" +// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" +// Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0" +// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" +// Retrieval info: PRIVATE: RECONFIG_FILE STRING "clk_gen.mif" +// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" +// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1" +// Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0" +// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" +// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" +// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" +// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" +// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" +// Retrieval info: PRIVATE: SPREAD_USE STRING "0" +// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" +// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" +// Retrieval info: PRIVATE: STICKY_CLK1 STRING "1" +// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" +// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1" +// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +// Retrieval info: PRIVATE: USE_CLK0 STRING "1" +// Retrieval info: PRIVATE: USE_CLK1 STRING "1" +// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" +// Retrieval info: PRIVATE: USE_CLKENA1 STRING "0" +// Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0" +// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" +// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all +// Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO" +// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "2" +// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" +// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1" +// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" +// Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "2" +// Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50" +// Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "5" +// Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0" +// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" +// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20000" +// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" +// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" +// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL" +// Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO" +// Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: SELF_RESET_ON_LOSS_LOCK STRING "OFF" +// Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5" +// Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]" +// Retrieval info: USED_PORT: areset 0 0 0 0 INPUT GND "areset" +// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0" +// Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1" +// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0" +// Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked" +// Retrieval info: CONNECT: @areset 0 0 0 0 areset 0 0 0 0 +// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 +// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 +// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 +// Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1 +// Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0 +// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen.ppf TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen.inc FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen.cmp FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen.bsf FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen_inst.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL clk_gen_bb.v TRUE +// Retrieval info: LIB_FILE: altera_mf +// Retrieval info: CBX_MODULE_PREFIX: ON diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen_inst.v b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen_inst.v new file mode 100644 index 0000000..bad6ce7 --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen_inst.v @@ -0,0 +1,7 @@ +clk_gen clk_gen_inst ( + .areset ( areset_sig ), + .inclk0 ( inclk0_sig ), + .c0 ( c0_sig ), + .c1 ( c1_sig ), + .locked ( locked_sig ) + ); diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/greybox_tmp/cbx_args.txt b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/greybox_tmp/cbx_args.txt new file mode 100644 index 0000000..f6a28fe --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/greybox_tmp/cbx_args.txt @@ -0,0 +1,61 @@ +BANDWIDTH_TYPE=AUTO +CLK0_DIVIDE_BY=2 +CLK0_DUTY_CYCLE=50 +CLK0_MULTIPLY_BY=1 +CLK0_PHASE_SHIFT=0 +COMPENSATE_CLOCK=CLK0 +INCLK0_INPUT_FREQUENCY=20000 +INTENDED_DEVICE_FAMILY="Cyclone IV E" +LPM_TYPE=altpll +OPERATION_MODE=NORMAL +PLL_TYPE=AUTO +PORT_ACTIVECLOCK=PORT_UNUSED +PORT_ARESET=PORT_USED +PORT_CLKBAD0=PORT_UNUSED +PORT_CLKBAD1=PORT_UNUSED +PORT_CLKLOSS=PORT_UNUSED +PORT_CLKSWITCH=PORT_UNUSED +PORT_CONFIGUPDATE=PORT_UNUSED +PORT_FBIN=PORT_UNUSED +PORT_INCLK0=PORT_USED +PORT_INCLK1=PORT_UNUSED +PORT_LOCKED=PORT_USED +PORT_PFDENA=PORT_UNUSED +PORT_PHASECOUNTERSELECT=PORT_UNUSED +PORT_PHASEDONE=PORT_UNUSED +PORT_PHASESTEP=PORT_UNUSED +PORT_PHASEUPDOWN=PORT_UNUSED +PORT_PLLENA=PORT_UNUSED +PORT_SCANACLR=PORT_UNUSED +PORT_SCANCLK=PORT_UNUSED +PORT_SCANCLKENA=PORT_UNUSED +PORT_SCANDATA=PORT_UNUSED +PORT_SCANDATAOUT=PORT_UNUSED +PORT_SCANDONE=PORT_UNUSED +PORT_SCANREAD=PORT_UNUSED +PORT_SCANWRITE=PORT_UNUSED +PORT_clk0=PORT_USED +PORT_clk1=PORT_UNUSED +PORT_clk2=PORT_UNUSED +PORT_clk3=PORT_UNUSED +PORT_clk4=PORT_UNUSED +PORT_clk5=PORT_UNUSED +PORT_clkena0=PORT_UNUSED +PORT_clkena1=PORT_UNUSED +PORT_clkena2=PORT_UNUSED +PORT_clkena3=PORT_UNUSED +PORT_clkena4=PORT_UNUSED +PORT_clkena5=PORT_UNUSED +PORT_extclk0=PORT_UNUSED +PORT_extclk1=PORT_UNUSED +PORT_extclk2=PORT_UNUSED +PORT_extclk3=PORT_UNUSED +SELF_RESET_ON_LOSS_LOCK=OFF +WIDTH_CLOCK=5 +DEVICE_FAMILY="Cyclone IV E" +CBX_AUTO_BLACKBOX=ALL +areset +inclk +inclk +clk +locked diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/clk_gen.qip b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/clk_gen.qip new file mode 100644 index 0000000..e69de29 diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.bsf b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.bsf new file mode 100644 index 0000000..a1a9664 --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.bsf @@ -0,0 +1,64 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "symbol" (version "1.2")) +(symbol + (rect 0 0 192 112) + (text "ddio_out" (rect 72 -1 129 15)(font "Arial" (font_size 10))) + (text "inst" (rect 8 96 25 108)(font "Arial" )) + (port + (pt 0 48) + (input) + (text "datain_h[0]" (rect 0 0 62 14)(font "Arial" (font_size 8))) + (text "datain_h[0]" (rect 4 34 55 47)(font "Arial" (font_size 8))) + (line (pt 0 48)(pt 64 48)(line_width 3)) + ) + (port + (pt 0 64) + (input) + (text "datain_l[0]" (rect 0 0 57 14)(font "Arial" (font_size 8))) + (text "datain_l[0]" (rect 4 50 51 63)(font "Arial" (font_size 8))) + (line (pt 0 64)(pt 64 64)(line_width 3)) + ) + (port + (pt 0 80) + (input) + (text "outclock" (rect 0 0 47 14)(font "Arial" (font_size 8))) + (text "outclock" (rect 4 66 42 79)(font "Arial" (font_size 8))) + (line (pt 0 80)(pt 64 80)) + ) + (port + (pt 192 48) + (output) + (text "dataout[0]" (rect 0 0 56 14)(font "Arial" (font_size 8))) + (text "dataout[0]" (rect 141 34 187 47)(font "Arial" (font_size 8))) + (line (pt 192 48)(pt 128 48)(line_width 3)) + ) + (drawing + (line (pt 64 32)(pt 128 32)) + (line (pt 128 32)(pt 128 96)) + (line (pt 64 96)(pt 128 96)) + (line (pt 64 32)(pt 64 96)) + (line (pt 0 0)(pt 192 0)) + (line (pt 192 0)(pt 192 112)) + (line (pt 0 112)(pt 192 112)) + (line (pt 0 0)(pt 0 112)) + ) +) diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.cmp b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.cmp new file mode 100644 index 0000000..8334a29 --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.cmp @@ -0,0 +1,24 @@ +--Copyright (C) 1991-2013 Altera Corporation +--Your use of Altera Corporation's design tools, logic functions +--and other software and tools, and its AMPP partner logic +--functions, and any output files from any of the foregoing +--(including device programming or simulation files), and any +--associated documentation or information are expressly subject +--to the terms and conditions of the Altera Program License +--Subscription Agreement, Altera MegaCore Function License +--Agreement, or other applicable license agreement, including, +--without limitation, that your use is for the sole purpose of +--programming logic devices manufactured by Altera and sold by +--Altera or its authorized distributors. Please refer to the +--applicable agreement for further details. + + +component ddio_out + PORT + ( + datain_h : IN STD_LOGIC_VECTOR (0 DOWNTO 0); + datain_l : IN STD_LOGIC_VECTOR (0 DOWNTO 0); + outclock : IN STD_LOGIC ; + dataout : OUT STD_LOGIC_VECTOR (0 DOWNTO 0) + ); +end component; diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.inc b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.inc new file mode 100644 index 0000000..fa5e50d --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.inc @@ -0,0 +1,25 @@ +--Copyright (C) 1991-2013 Altera Corporation +--Your use of Altera Corporation's design tools, logic functions +--and other software and tools, and its AMPP partner logic +--functions, and any output files from any of the foregoing +--(including device programming or simulation files), and any +--associated documentation or information are expressly subject +--to the terms and conditions of the Altera Program License +--Subscription Agreement, Altera MegaCore Function License +--Agreement, or other applicable license agreement, including, +--without limitation, that your use is for the sole purpose of +--programming logic devices manufactured by Altera and sold by +--Altera or its authorized distributors. Please refer to the +--applicable agreement for further details. + + +FUNCTION ddio_out +( + datain_h[0..0], + datain_l[0..0], + outclock +) + +RETURNS ( + dataout[0..0] +); diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.ppf b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.ppf new file mode 100644 index 0000000..2eecd59 --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.ppf @@ -0,0 +1,11 @@ + + + + + + + + + + + diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.qip b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.qip new file mode 100644 index 0000000..6084731 --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.qip @@ -0,0 +1,9 @@ +set_global_assignment -name IP_TOOL_NAME "ALTDDIO_OUT" +set_global_assignment -name IP_TOOL_VERSION "13.0" +set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "ddio_out.v"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "ddio_out.bsf"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "ddio_out_inst.v"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "ddio_out_bb.v"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "ddio_out.inc"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "ddio_out.cmp"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "ddio_out.ppf"] diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.v b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.v new file mode 100644 index 0000000..5758d48 --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.v @@ -0,0 +1,107 @@ +// megafunction wizard: %ALTDDIO_OUT% +// GENERATION: STANDARD +// VERSION: WM1.0 +// MODULE: ALTDDIO_OUT + +// ============================================================ +// File Name: ddio_out.v +// Megafunction Name(s): +// ALTDDIO_OUT +// +// Simulation Library Files(s): +// altera_mf +// ============================================================ +// ************************************************************ +// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +// +// 13.0.0 Build 156 04/24/2013 SJ Full Version +// ************************************************************ + + +//Copyright (C) 1991-2013 Altera Corporation +//Your use of Altera Corporation's design tools, logic functions +//and other software and tools, and its AMPP partner logic +//functions, and any output files from any of the foregoing +//(including device programming or simulation files), and any +//associated documentation or information are expressly subject +//to the terms and conditions of the Altera Program License +//Subscription Agreement, Altera MegaCore Function License +//Agreement, or other applicable license agreement, including, +//without limitation, that your use is for the sole purpose of +//programming logic devices manufactured by Altera and sold by +//Altera or its authorized distributors. Please refer to the +//applicable agreement for further details. + + +// synopsys translate_off +`timescale 1 ps / 1 ps +// synopsys translate_on +module ddio_out ( + datain_h, + datain_l, + outclock, + dataout); + + input [0:0] datain_h; + input [0:0] datain_l; + input outclock; + output [0:0] dataout; + + wire [0:0] sub_wire0; + wire [0:0] dataout = sub_wire0[0:0]; + + altddio_out ALTDDIO_OUT_component ( + .datain_h (datain_h), + .datain_l (datain_l), + .outclock (outclock), + .dataout (sub_wire0), + .aclr (1'b0), + .aset (1'b0), + .oe (1'b1), + .oe_out (), + .outclocken (1'b1), + .sclr (1'b0), + .sset (1'b0)); + defparam + ALTDDIO_OUT_component.extend_oe_disable = "OFF", + ALTDDIO_OUT_component.intended_device_family = "Cyclone IV E", + ALTDDIO_OUT_component.invert_output = "OFF", + ALTDDIO_OUT_component.lpm_hint = "UNUSED", + ALTDDIO_OUT_component.lpm_type = "altddio_out", + ALTDDIO_OUT_component.oe_reg = "UNREGISTERED", + ALTDDIO_OUT_component.power_up_high = "OFF", + ALTDDIO_OUT_component.width = 1; + + +endmodule + +// ============================================================ +// CNX file retrieval info +// ============================================================ +// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all +// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" +// Retrieval info: CONSTANT: EXTEND_OE_DISABLE STRING "OFF" +// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" +// Retrieval info: CONSTANT: INVERT_OUTPUT STRING "OFF" +// Retrieval info: CONSTANT: LPM_HINT STRING "UNUSED" +// Retrieval info: CONSTANT: LPM_TYPE STRING "altddio_out" +// Retrieval info: CONSTANT: OE_REG STRING "UNREGISTERED" +// Retrieval info: CONSTANT: POWER_UP_HIGH STRING "OFF" +// Retrieval info: CONSTANT: WIDTH NUMERIC "1" +// Retrieval info: USED_PORT: datain_h 0 0 1 0 INPUT NODEFVAL "datain_h[0..0]" +// Retrieval info: CONNECT: @datain_h 0 0 1 0 datain_h 0 0 1 0 +// Retrieval info: USED_PORT: datain_l 0 0 1 0 INPUT NODEFVAL "datain_l[0..0]" +// Retrieval info: CONNECT: @datain_l 0 0 1 0 datain_l 0 0 1 0 +// Retrieval info: USED_PORT: dataout 0 0 1 0 OUTPUT NODEFVAL "dataout[0..0]" +// Retrieval info: CONNECT: dataout 0 0 1 0 @dataout 0 0 1 0 +// Retrieval info: USED_PORT: outclock 0 0 0 0 INPUT_CLK_EXT NODEFVAL "outclock" +// Retrieval info: CONNECT: @outclock 0 0 0 0 outclock 0 0 0 0 +// Retrieval info: GEN_FILE: TYPE_NORMAL ddio_out.v TRUE FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL ddio_out.qip TRUE FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL ddio_out.bsf TRUE TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL ddio_out_inst.v TRUE TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL ddio_out_bb.v TRUE TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL ddio_out.inc TRUE TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL ddio_out.cmp TRUE TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL ddio_out.ppf TRUE FALSE +// Retrieval info: LIB_FILE: altera_mf diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out_bb.v b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out_bb.v new file mode 100644 index 0000000..52b2bf0 --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out_bb.v @@ -0,0 +1,76 @@ +// megafunction wizard: %ALTDDIO_OUT%VBB% +// GENERATION: STANDARD +// VERSION: WM1.0 +// MODULE: ALTDDIO_OUT + +// ============================================================ +// File Name: ddio_out.v +// Megafunction Name(s): +// ALTDDIO_OUT +// +// Simulation Library Files(s): +// altera_mf +// ============================================================ +// ************************************************************ +// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +// +// 13.0.0 Build 156 04/24/2013 SJ Full Version +// ************************************************************ + +//Copyright (C) 1991-2013 Altera Corporation +//Your use of Altera Corporation's design tools, logic functions +//and other software and tools, and its AMPP partner logic +//functions, and any output files from any of the foregoing +//(including device programming or simulation files), and any +//associated documentation or information are expressly subject +//to the terms and conditions of the Altera Program License +//Subscription Agreement, Altera MegaCore Function License +//Agreement, or other applicable license agreement, including, +//without limitation, that your use is for the sole purpose of +//programming logic devices manufactured by Altera and sold by +//Altera or its authorized distributors. Please refer to the +//applicable agreement for further details. + +module ddio_out ( + datain_h, + datain_l, + outclock, + dataout); + + input [0:0] datain_h; + input [0:0] datain_l; + input outclock; + output [0:0] dataout; + +endmodule + +// ============================================================ +// CNX file retrieval info +// ============================================================ +// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all +// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" +// Retrieval info: CONSTANT: EXTEND_OE_DISABLE STRING "OFF" +// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" +// Retrieval info: CONSTANT: INVERT_OUTPUT STRING "OFF" +// Retrieval info: CONSTANT: LPM_HINT STRING "UNUSED" +// Retrieval info: CONSTANT: LPM_TYPE STRING "altddio_out" +// Retrieval info: CONSTANT: OE_REG STRING "UNREGISTERED" +// Retrieval info: CONSTANT: POWER_UP_HIGH STRING "OFF" +// Retrieval info: CONSTANT: WIDTH NUMERIC "1" +// Retrieval info: USED_PORT: datain_h 0 0 1 0 INPUT NODEFVAL "datain_h[0..0]" +// Retrieval info: CONNECT: @datain_h 0 0 1 0 datain_h 0 0 1 0 +// Retrieval info: USED_PORT: datain_l 0 0 1 0 INPUT NODEFVAL "datain_l[0..0]" +// Retrieval info: CONNECT: @datain_l 0 0 1 0 datain_l 0 0 1 0 +// Retrieval info: USED_PORT: dataout 0 0 1 0 OUTPUT NODEFVAL "dataout[0..0]" +// Retrieval info: CONNECT: dataout 0 0 1 0 @dataout 0 0 1 0 +// Retrieval info: USED_PORT: outclock 0 0 0 0 INPUT_CLK_EXT NODEFVAL "outclock" +// Retrieval info: CONNECT: @outclock 0 0 0 0 outclock 0 0 0 0 +// Retrieval info: GEN_FILE: TYPE_NORMAL ddio_out.v TRUE FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL ddio_out.qip TRUE FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL ddio_out.bsf TRUE TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL ddio_out_inst.v TRUE TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL ddio_out_bb.v TRUE TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL ddio_out.inc TRUE TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL ddio_out.cmp TRUE TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL ddio_out.ppf TRUE FALSE +// Retrieval info: LIB_FILE: altera_mf diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out_inst.v b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out_inst.v new file mode 100644 index 0000000..99572eb --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out_inst.v @@ -0,0 +1,7 @@ +ddio_out ddio_out_inst +( + .datain_h ( datain_h_sig ), + .datain_l ( datain_l_sig ), + .outclock ( outclock_sig ), + .dataout ( dataout_sig ) +); diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/greybox_tmp/cbx_args.txt b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/greybox_tmp/cbx_args.txt new file mode 100644 index 0000000..19abf30 --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/greybox_tmp/cbx_args.txt @@ -0,0 +1,66 @@ +BANDWIDTH_TYPE=AUTO +CLK0_DIVIDE_BY=2 +CLK0_DUTY_CYCLE=50 +CLK0_MULTIPLY_BY=1 +CLK0_PHASE_SHIFT=0 +CLK1_DIVIDE_BY=1 +CLK1_DUTY_CYCLE=50 +CLK1_MULTIPLY_BY=13 +CLK1_PHASE_SHIFT=0 +COMPENSATE_CLOCK=CLK0 +INCLK0_INPUT_FREQUENCY=20000 +INTENDED_DEVICE_FAMILY="Cyclone IV E" +LPM_TYPE=altpll +OPERATION_MODE=NORMAL +PLL_TYPE=AUTO +PORT_ACTIVECLOCK=PORT_UNUSED +PORT_ARESET=PORT_USED +PORT_CLKBAD0=PORT_UNUSED +PORT_CLKBAD1=PORT_UNUSED +PORT_CLKLOSS=PORT_UNUSED +PORT_CLKSWITCH=PORT_UNUSED +PORT_CONFIGUPDATE=PORT_UNUSED +PORT_FBIN=PORT_UNUSED +PORT_INCLK0=PORT_USED +PORT_INCLK1=PORT_UNUSED +PORT_LOCKED=PORT_USED +PORT_PFDENA=PORT_UNUSED +PORT_PHASECOUNTERSELECT=PORT_UNUSED +PORT_PHASEDONE=PORT_UNUSED +PORT_PHASESTEP=PORT_UNUSED +PORT_PHASEUPDOWN=PORT_UNUSED +PORT_PLLENA=PORT_UNUSED +PORT_SCANACLR=PORT_UNUSED +PORT_SCANCLK=PORT_UNUSED +PORT_SCANCLKENA=PORT_UNUSED +PORT_SCANDATA=PORT_UNUSED +PORT_SCANDATAOUT=PORT_UNUSED +PORT_SCANDONE=PORT_UNUSED +PORT_SCANREAD=PORT_UNUSED +PORT_SCANWRITE=PORT_UNUSED +PORT_clk0=PORT_USED +PORT_clk1=PORT_USED +PORT_clk2=PORT_UNUSED +PORT_clk3=PORT_UNUSED +PORT_clk4=PORT_UNUSED +PORT_clk5=PORT_UNUSED +PORT_clkena0=PORT_UNUSED +PORT_clkena1=PORT_UNUSED +PORT_clkena2=PORT_UNUSED +PORT_clkena3=PORT_UNUSED +PORT_clkena4=PORT_UNUSED +PORT_clkena5=PORT_UNUSED +PORT_extclk0=PORT_UNUSED +PORT_extclk1=PORT_UNUSED +PORT_extclk2=PORT_UNUSED +PORT_extclk3=PORT_UNUSED +SELF_RESET_ON_LOSS_LOCK=OFF +WIDTH_CLOCK=5 +DEVICE_FAMILY="Cyclone IV E" +CBX_AUTO_BLACKBOX=ALL +areset +inclk +inclk +clk +clk +locked diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/pll.qip b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/pll.qip new file mode 100644 index 0000000..e69de29 diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar.sft b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar.sft new file mode 100644 index 0000000..fc8984c --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar.sft @@ -0,0 +1,6 @@ +set tool_name "ModelSim (Verilog)" +set corner_file_list { + {{"Slow -8 1.2V 85 Model"} {hdmi_colorbar_8_1200mv_85c_slow.vo hdmi_colorbar_8_1200mv_85c_v_slow.sdo}} + {{"Slow -8 1.2V 0 Model"} {hdmi_colorbar_8_1200mv_0c_slow.vo hdmi_colorbar_8_1200mv_0c_v_slow.sdo}} + {{"Fast -M 1.2V 0 Model"} {hdmi_colorbar_min_1200mv_0c_fast.vo hdmi_colorbar_min_1200mv_0c_v_fast.sdo}} +} diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar.vo b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar.vo new file mode 100644 index 0000000..cb63408 --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar.vo @@ -0,0 +1,11443 @@ +// Copyright (C) 1991-2013 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + +// VENDOR "Altera" +// PROGRAM "Quartus II 64-Bit" +// VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version" + +// DATE "06/02/2023 04:17:19" + +// +// Device: Altera EP4CE15F23C8 Package FBGA484 +// + +// +// This Verilog file should be used for ModelSim (Verilog) only +// + +`timescale 1 ps/ 1 ps + +module hdmi_colorbar ( + sys_clk, + sys_rst_n, + ddc_scl, + ddc_sda, + tmds_clk_p, + tmds_clk_n, + tmds_data_p, + tmds_data_n); +input sys_clk; +input sys_rst_n; +output ddc_scl; +output ddc_sda; +output tmds_clk_p; +output tmds_clk_n; +output [2:0] tmds_data_p; +output [2:0] tmds_data_n; + +// Design Ports Information +// ddc_scl => Location: PIN_N22, I/O Standard: 2.5 V, Current Strength: Default +// ddc_sda => Location: PIN_R22, I/O Standard: 2.5 V, Current Strength: Default +// tmds_clk_p => Location: PIN_H21, I/O Standard: 2.5 V, Current Strength: Default +// tmds_clk_n => Location: PIN_H22, I/O Standard: 2.5 V, Current Strength: Default +// tmds_data_p[0] => Location: PIN_F21, I/O Standard: 2.5 V, Current Strength: Default +// tmds_data_p[1] => Location: PIN_E21, I/O Standard: 2.5 V, Current Strength: Default +// tmds_data_p[2] => Location: PIN_D21, I/O Standard: 2.5 V, Current Strength: Default +// tmds_data_n[0] => Location: PIN_F22, I/O Standard: 2.5 V, Current Strength: Default +// tmds_data_n[1] => Location: PIN_E22, I/O Standard: 2.5 V, Current Strength: Default +// tmds_data_n[2] => Location: PIN_D22, I/O Standard: 2.5 V, Current Strength: Default +// sys_rst_n => Location: PIN_U20, I/O Standard: 2.5 V, Current Strength: Default +// sys_clk => Location: PIN_T22, I/O Standard: 2.5 V, Current Strength: Default + + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +tri1 devclrn; +tri1 devpor; +tri1 devoe; +// synopsys translate_off +initial $sdf_annotate("hdmi_colorbar_v.sdo"); +// synopsys translate_on + +wire \hdmi_ctrl_inst|encode_inst0|Add20~1 ; +wire \hdmi_ctrl_inst|encode_inst0|Add20~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add20~3 ; +wire \hdmi_ctrl_inst|encode_inst0|Add20~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add20~5 ; +wire \hdmi_ctrl_inst|encode_inst0|Add20~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add20~6_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~1 ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~3 ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~5 ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~7 ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~6_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~8_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add23~1 ; +wire \hdmi_ctrl_inst|encode_inst0|Add23~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add23~3 ; +wire \hdmi_ctrl_inst|encode_inst0|Add23~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add23~5 ; +wire \hdmi_ctrl_inst|encode_inst0|Add23~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add23~6_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~1 ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~3 ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~5 ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~7 ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~6_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~8_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add19~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add22~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add20~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add20~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add20~5 ; +wire \hdmi_ctrl_inst|encode_inst1|Add20~6_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~5 ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~7 ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~6_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~8_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add23~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add23~5 ; +wire \hdmi_ctrl_inst|encode_inst1|Add23~6_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~7 ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~8_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add19~1 ; +wire \hdmi_ctrl_inst|encode_inst1|Add19~3 ; +wire \hdmi_ctrl_inst|encode_inst1|Add19~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add19~5 ; +wire \hdmi_ctrl_inst|encode_inst1|Add19~4_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add19~6_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add22~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add22~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add22~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add20~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add20~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~6_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add23~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add23~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~5 ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~7 ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~6_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~8_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add19~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add19~5 ; +wire \hdmi_ctrl_inst|encode_inst2|Add19~6_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add22~1 ; +wire \hdmi_ctrl_inst|encode_inst2|Add22~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add22~3 ; +wire \hdmi_ctrl_inst|encode_inst2|Add22~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add22~5 ; +wire \hdmi_ctrl_inst|encode_inst2|Add22~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add22~6_combout ; +wire \vga_ctrl_inst|Add0~2_combout ; +wire \vga_ctrl_inst|Add0~10_combout ; +wire \vga_ctrl_inst|Add1~2_combout ; +wire \vga_ctrl_inst|Add1~6_combout ; +wire \vga_ctrl_inst|Add1~8_combout ; +wire \vga_ctrl_inst|Add1~10_combout ; +wire \vga_ctrl_inst|Add1~12_combout ; +wire \vga_ctrl_inst|Add1~14_combout ; +wire \vga_ctrl_inst|Add1~16_combout ; +wire \vga_ctrl_inst|Add1~18_combout ; +wire \vga_ctrl_inst|Add1~20_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~3_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~5_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~7_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~8_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~11_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~12_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~16_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add12~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out~2_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~1_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~5_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~6_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~7_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~8_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~13_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~14_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~16_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~3_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~9_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~10_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~11_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~12_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~13_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~16_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add14~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out~3_combout ; +wire \vga_ctrl_inst|pix_data_req~0_combout ; +wire \vga_ctrl_inst|pix_data_req~3_combout ; +wire \hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out~5_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out~5_combout ; +wire \vga_ctrl_inst|pix_x[10]~1_combout ; +wire \vga_pic_inst|always0~1_combout ; +wire \vga_pic_inst|always0~2_combout ; +wire \vga_pic_inst|pix_data[9]~14_combout ; +wire \vga_pic_inst|pix_data~16_combout ; +wire \vga_ctrl_inst|pix_data_req~8_combout ; +wire \vga_ctrl_inst|cnt_v[10]~12_combout ; +wire \hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ; +wire \vga_pic_inst|LessThan10~0_combout ; +wire \vga_pic_inst|pix_data~22_combout ; +wire \vga_pic_inst|pix_data~23_combout ; +wire \vga_pic_inst|LessThan14~1_combout ; +wire \vga_pic_inst|pix_data[13]~24_combout ; +wire \vga_pic_inst|pix_data~25_combout ; +wire \hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out~5_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out~8_combout ; +wire \hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out~6_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out~8_combout ; +wire \vga_pic_inst|pix_data~37_combout ; +wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ; +wire \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ; +wire \sys_clk~input_o ; +wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ; +wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ; +wire \vga_ctrl_inst|Add0~0_combout ; +wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ; +wire \sys_rst_n~input_o ; +wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ; +wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ; +wire \rst_n~0_combout ; +wire \rst_n~0clkctrl_outclk ; +wire \vga_ctrl_inst|Add0~1 ; +wire \vga_ctrl_inst|Add0~3 ; +wire \vga_ctrl_inst|Add0~5 ; +wire \vga_ctrl_inst|Add0~6_combout ; +wire \vga_ctrl_inst|Add0~7 ; +wire \vga_ctrl_inst|Add0~8_combout ; +wire \vga_ctrl_inst|Add0~9 ; +wire \vga_ctrl_inst|Add0~11 ; +wire \vga_ctrl_inst|Add0~12_combout ; +wire \vga_ctrl_inst|Add0~13 ; +wire \vga_ctrl_inst|Add0~14_combout ; +wire \vga_ctrl_inst|Add0~4_combout ; +wire \vga_ctrl_inst|Equal0~0_combout ; +wire \vga_ctrl_inst|Add0~15 ; +wire \vga_ctrl_inst|Add0~16_combout ; +wire \vga_ctrl_inst|Add0~19 ; +wire \vga_ctrl_inst|Add0~20_combout ; +wire \vga_ctrl_inst|Add0~21 ; +wire \vga_ctrl_inst|Add0~22_combout ; +wire \vga_ctrl_inst|Equal0~1_combout ; +wire \vga_ctrl_inst|cnt_h~0_combout ; +wire \vga_ctrl_inst|Equal0~2_combout ; +wire \vga_ctrl_inst|cnt_h~2_combout ; +wire \vga_ctrl_inst|Add0~17 ; +wire \vga_ctrl_inst|Add0~18_combout ; +wire \vga_ctrl_inst|cnt_h~1_combout ; +wire \vga_ctrl_inst|LessThan4~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add4~0_combout ; +wire \vga_ctrl_inst|Add2~1_cout ; +wire \vga_ctrl_inst|Add2~3_cout ; +wire \vga_ctrl_inst|Add2~5_cout ; +wire \vga_ctrl_inst|Add2~7_cout ; +wire \vga_ctrl_inst|Add2~9_cout ; +wire \vga_ctrl_inst|Add2~11 ; +wire \vga_ctrl_inst|Add2~13 ; +wire \vga_ctrl_inst|Add2~15 ; +wire \vga_ctrl_inst|Add2~17 ; +wire \vga_ctrl_inst|Add2~18_combout ; +wire \vga_ctrl_inst|Add2~16_combout ; +wire \vga_ctrl_inst|Add2~14_combout ; +wire \vga_pic_inst|pix_data~12_combout ; +wire \vga_ctrl_inst|Add2~12_combout ; +wire \vga_ctrl_inst|pix_data_req~5_combout ; +wire \vga_ctrl_inst|Equal0~3_combout ; +wire \vga_ctrl_inst|cnt_v[7]~7_combout ; +wire \vga_ctrl_inst|cnt_v[5]~10_combout ; +wire \vga_ctrl_inst|cnt_v[8]~6_combout ; +wire \vga_ctrl_inst|always1~0_combout ; +wire \vga_ctrl_inst|cnt_v[1]~1_combout ; +wire \vga_ctrl_inst|cnt_v[4]~5_combout ; +wire \vga_ctrl_inst|always1~1_combout ; +wire \vga_ctrl_inst|Add1~0_combout ; +wire \vga_ctrl_inst|cnt_v[0]~2_combout ; +wire \vga_ctrl_inst|cnt_v[3]~3_combout ; +wire \vga_ctrl_inst|always1~2_combout ; +wire \vga_ctrl_inst|cnt_v[11]~0_combout ; +wire \vga_ctrl_inst|cnt_v[9]~9_combout ; +wire \vga_ctrl_inst|cnt_v[6]~8_combout ; +wire \vga_ctrl_inst|Add1~1 ; +wire \vga_ctrl_inst|Add1~3 ; +wire \vga_ctrl_inst|Add1~4_combout ; +wire \vga_ctrl_inst|cnt_v[2]~4_combout ; +wire \vga_ctrl_inst|Add1~5 ; +wire \vga_ctrl_inst|Add1~7 ; +wire \vga_ctrl_inst|Add1~9 ; +wire \vga_ctrl_inst|Add1~11 ; +wire \vga_ctrl_inst|Add1~13 ; +wire \vga_ctrl_inst|Add1~15 ; +wire \vga_ctrl_inst|Add1~17 ; +wire \vga_ctrl_inst|Add1~19 ; +wire \vga_ctrl_inst|Add1~21 ; +wire \vga_ctrl_inst|Add1~22_combout ; +wire \vga_ctrl_inst|cnt_v[11]~11_combout ; +wire \vga_ctrl_inst|pix_data_req~2_combout ; +wire \vga_ctrl_inst|pix_data_req~4_combout ; +wire \vga_ctrl_inst|pix_data_req~6_combout ; +wire \vga_ctrl_inst|pix_data_req~7_combout ; +wire \vga_pic_inst|pix_data[13]~11_combout ; +wire \vga_pic_inst|always0~0_combout ; +wire \vga_pic_inst|pix_data~13_combout ; +wire \vga_pic_inst|pix_data~17_combout ; +wire \vga_pic_inst|pix_data~34_combout ; +wire \vga_pic_inst|pix_data[13]~8_combout ; +wire \vga_pic_inst|pix_data[13]~9_combout ; +wire \vga_pic_inst|pix_data[13]~10_combout ; +wire \vga_pic_inst|pix_data~18_combout ; +wire \vga_ctrl_inst|Add2~19 ; +wire \vga_ctrl_inst|Add2~20_combout ; +wire \vga_ctrl_inst|Add2~10_combout ; +wire \vga_pic_inst|LessThan17~4_combout ; +wire \vga_pic_inst|LessThan17~3_combout ; +wire \vga_pic_inst|LessThan14~0_combout ; +wire \vga_pic_inst|pix_data~19_combout ; +wire \vga_pic_inst|pix_data~20_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add6~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ; +wire \vga_ctrl_inst|LessThan6~0_combout ; +wire \vga_ctrl_inst|pix_data_req~1_combout ; +wire \vga_ctrl_inst|rgb[1]~0_combout ; +wire \vga_ctrl_inst|rgb[2]~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add14~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add19~1 ; +wire \hdmi_ctrl_inst|encode_inst0|Add19~3 ; +wire \hdmi_ctrl_inst|encode_inst0|Add19~5 ; +wire \hdmi_ctrl_inst|encode_inst0|Add19~6_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add22~1 ; +wire \hdmi_ctrl_inst|encode_inst0|Add22~3 ; +wire \hdmi_ctrl_inst|encode_inst0|Add22~5 ; +wire \hdmi_ctrl_inst|encode_inst0|Add22~6_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add19~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add22~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~9_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~10_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add19~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add12~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add22~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add14~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~13_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~14_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~15_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add4~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|de_reg1~q ; +wire \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst2|de_reg2~q ; +wire \hdmi_ctrl_inst|encode_inst0|condition_2~combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~6_combout ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[3]~14 ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ; +wire \hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ; +wire \vga_ctrl_inst|LessThan0~0_combout ; +wire \vga_ctrl_inst|LessThan0~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|c0_reg1~q ; +wire \hdmi_ctrl_inst|encode_inst2|c0_reg2~q ; +wire \hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ; +wire \vga_ctrl_inst|LessThan1~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|c1_reg1~q ; +wire \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst2|c1_reg2~q ; +wire \hdmi_ctrl_inst|encode_inst0|data_out~7_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out~3_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ; +wire \vga_pic_inst|LessThan17~2_combout ; +wire \vga_pic_inst|pix_data[9]~15_combout ; +wire \vga_pic_inst|pix_data~35_combout ; +wire \vga_pic_inst|pix_data~36_combout ; +wire \vga_pic_inst|pix_data~21_combout ; +wire \vga_pic_inst|pix_data~26_combout ; +wire \vga_ctrl_inst|pix_x[11]~0_combout ; +wire \vga_pic_inst|pix_data~27_combout ; +wire \vga_ctrl_inst|rgb[10]~2_combout ; +wire \vga_pic_inst|pix_data~29_combout ; +wire \vga_pic_inst|pix_data~30_combout ; +wire \vga_pic_inst|pix_data~31_combout ; +wire \vga_ctrl_inst|rgb[6]~4_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add5~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add14~1_combout ; +wire \vga_pic_inst|pix_data~28_combout ; +wire \vga_ctrl_inst|rgb[7]~3_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add13~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add13~1_combout ; +wire \hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add19~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add14~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~1 ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~3 ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~4_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add14~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add23~1 ; +wire \hdmi_ctrl_inst|encode_inst1|Add23~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~1 ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~3 ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~4_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~9_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~10_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~15_combout ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~5 ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~6_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add20~1 ; +wire \hdmi_ctrl_inst|encode_inst1|Add20~3 ; +wire \hdmi_ctrl_inst|encode_inst1|Add20~4_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add23~3 ; +wire \hdmi_ctrl_inst|encode_inst1|Add23~4_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~3_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~4_combout ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|condition_2~combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~11_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~12_combout ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add22~1 ; +wire \hdmi_ctrl_inst|encode_inst1|Add22~3 ; +wire \hdmi_ctrl_inst|encode_inst1|Add22~5 ; +wire \hdmi_ctrl_inst|encode_inst1|Add22~6_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[3]~14 ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ; +wire \hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out~1_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out~3_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out~7_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ; +wire \vga_pic_inst|pix_data~33_combout ; +wire \vga_ctrl_inst|rgb[13]~6_combout ; +wire \vga_pic_inst|pix_data~32_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add6~0_combout ; +wire \vga_ctrl_inst|rgb[12]~5_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add12~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add14~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add12~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add19~1 ; +wire \hdmi_ctrl_inst|encode_inst2|Add19~3 ; +wire \hdmi_ctrl_inst|encode_inst2|Add19~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~5_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~6_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~15_combout ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ; +wire \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|condition_2~combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add19~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~14_combout ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~1 ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~3 ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add23~1 ; +wire \hdmi_ctrl_inst|encode_inst2|Add23~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add20~1 ; +wire \hdmi_ctrl_inst|encode_inst2|Add20~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~7_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~8_combout ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~1 ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~3 ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~5 ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~7 ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~8_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add23~3 ; +wire \hdmi_ctrl_inst|encode_inst2|Add23~5 ; +wire \hdmi_ctrl_inst|encode_inst2|Add23~6_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add20~3 ; +wire \hdmi_ctrl_inst|encode_inst2|Add20~5 ; +wire \hdmi_ctrl_inst|encode_inst2|Add20~6_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[3]~14 ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ; +wire \hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out~7_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ; +wire \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ; +wire [9:0] \hdmi_ctrl_inst|encode_inst0|data_out ; +wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ; +wire [11:0] \vga_ctrl_inst|cnt_v ; +wire [11:0] \vga_ctrl_inst|cnt_h ; +wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s ; +wire [7:0] \hdmi_ctrl_inst|encode_inst0|data_in_reg ; +wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s ; +wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s ; +wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s ; +wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ; +wire [8:0] \hdmi_ctrl_inst|encode_inst0|q_m_reg ; +wire [3:0] \hdmi_ctrl_inst|encode_inst0|q_m_n1 ; +wire [15:0] \vga_pic_inst|pix_data ; +wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ; +wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ; +wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s ; +wire [4:0] \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk ; +wire [3:0] \hdmi_ctrl_inst|encode_inst0|data_in_n1 ; +wire [2:0] \hdmi_ctrl_inst|par_to_ser_inst0|cnt ; +wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s ; +wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ; +wire [3:0] \hdmi_ctrl_inst|encode_inst0|q_m_n0 ; +wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ; +wire [4:0] \hdmi_ctrl_inst|encode_inst0|cnt ; +wire [4:0] \hdmi_ctrl_inst|encode_inst1|cnt ; +wire [9:0] \hdmi_ctrl_inst|encode_inst1|data_out ; +wire [8:0] \hdmi_ctrl_inst|encode_inst1|q_m_reg ; +wire [3:0] \hdmi_ctrl_inst|encode_inst1|q_m_n0 ; +wire [3:0] \hdmi_ctrl_inst|encode_inst1|q_m_n1 ; +wire [7:0] \hdmi_ctrl_inst|encode_inst1|data_in_reg ; +wire [3:0] \hdmi_ctrl_inst|encode_inst1|data_in_n1 ; +wire [4:0] \hdmi_ctrl_inst|encode_inst2|cnt ; +wire [9:0] \hdmi_ctrl_inst|encode_inst2|data_out ; +wire [8:0] \hdmi_ctrl_inst|encode_inst2|q_m_reg ; +wire [3:0] \hdmi_ctrl_inst|encode_inst2|q_m_n0 ; +wire [3:0] \hdmi_ctrl_inst|encode_inst2|q_m_n1 ; +wire [7:0] \hdmi_ctrl_inst|encode_inst2|data_in_reg ; +wire [3:0] \hdmi_ctrl_inst|encode_inst2|data_in_n1 ; +wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ; +wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s ; +wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s ; +wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ; + +wire [4:0] \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ; + +assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [0]; +assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [1] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [1]; +assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [2] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [2]; +assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [3] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [3]; +assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [4] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [4]; + +// Location: PLL_2 +cycloneive_pll \clk_gen_inst|altpll_component|auto_generated|pll1 ( + .areset(!\sys_rst_n~input_o ), + .pfdena(vcc), + .fbin(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ), + .phaseupdown(gnd), + .phasestep(gnd), + .scandata(gnd), + .scanclk(gnd), + .scanclkena(vcc), + .configupdate(gnd), + .clkswitch(gnd), + .inclk({gnd,\sys_clk~input_o }), + .phasecounterselect(3'b000), + .phasedone(), + .scandataout(), + .scandone(), + .activeclock(), + .locked(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ), + .vcooverrange(), + .vcounderrange(), + .fbout(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ), + .clk(\clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ), + .clkbad()); +// synopsys translate_off +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .auto_settings = "false"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .bandwidth_type = "medium"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_high = 10; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_initial = 1; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_low = 10; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_mode = "even"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_ph = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_high = 2; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_initial = 1; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_low = 2; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_mode = "even"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_ph = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_use_casc_in = "off"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_high = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_initial = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_low = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_mode = "bypass"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_ph = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_use_casc_in = "off"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_high = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_initial = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_low = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_mode = "bypass"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_ph = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_use_casc_in = "off"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_high = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_initial = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_low = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_mode = "bypass"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_ph = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_use_casc_in = "off"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .charge_pump_current_bits = 1; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_counter = "c0"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_divide_by = 2; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_duty_cycle = 50; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_multiply_by = 1; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_phase_shift = "0"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_counter = "c1"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_divide_by = 2; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_duty_cycle = 50; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_multiply_by = 5; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_phase_shift = "0"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_counter = "unused"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_divide_by = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_duty_cycle = 50; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_multiply_by = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_phase_shift = "0"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_counter = "unused"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_divide_by = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_duty_cycle = 50; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_multiply_by = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_phase_shift = "0"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_counter = "unused"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_divide_by = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_duty_cycle = 50; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_multiply_by = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_phase_shift = "0"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .compensate_clock = "clock0"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk0_input_frequency = 20000; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk1_input_frequency = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_c_bits = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_r_bits = 27; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m = 10; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_initial = 1; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_ph = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .n = 1; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .operation_mode = "normal"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_max = 200000; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_min = 3076; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pll_compensation_delay = 6891; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .self_reset_on_loss_lock = "off"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .simulation_type = "timing"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .switch_over_type = "auto"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_center = 1538; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_divide_by = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_frequency_control = "auto"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_max = 3333; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_min = 1538; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_multiply_by = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_phase_shift_step = 250; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_post_scale = 2; +// synopsys translate_on + +// Location: FF_X40_Y21_N11 +dffeas \hdmi_ctrl_inst|encode_inst1|data_out[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_out [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[0] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X38_Y22_N7 +dffeas \hdmi_ctrl_inst|encode_inst0|cnt[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|cnt [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[0] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X38_Y22_N9 +dffeas \hdmi_ctrl_inst|encode_inst0|cnt[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|cnt [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[1] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X38_Y22_N11 +dffeas \hdmi_ctrl_inst|encode_inst0|cnt[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|cnt [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[2] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y22_N11 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[3] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y23_N11 +dffeas \hdmi_ctrl_inst|encode_inst1|data_out[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_out [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[2] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y23_N13 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (GND))) +// \hdmi_ctrl_inst|encode_inst0|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1])) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add20~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add20~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add20~0 .lut_mask = 16'h66BB; +defparam \hdmi_ctrl_inst|encode_inst0|Add20~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst0|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & +// ((\hdmi_ctrl_inst|encode_inst0|Add20~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|Add20~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & +// (!\hdmi_ctrl_inst|encode_inst0|Add20~1 )))) +// \hdmi_ctrl_inst|encode_inst0|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((!\hdmi_ctrl_inst|encode_inst0|Add20~1 ) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & +// (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst0|Add20~1 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add20~1 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add20~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add20~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add20~2 .lut_mask = 16'h692B; +defparam \hdmi_ctrl_inst|encode_inst0|Add20~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst0|Add20~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst0|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst0|Add20~3 )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add20~3 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add20~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add20~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add20~4 .lut_mask = 16'h5AAF; +defparam \hdmi_ctrl_inst|encode_inst0|Add20~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst0|Add20~5 + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst0|Add20~5 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add20~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add20~6 .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst0|Add20~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] & VCC)) +// \hdmi_ctrl_inst|encode_inst0|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [0] & \hdmi_ctrl_inst|encode_inst0|q_m_n1 [0])) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [0]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add17~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add17~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst0|Add17~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & +// (!\hdmi_ctrl_inst|encode_inst0|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (!\hdmi_ctrl_inst|encode_inst0|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & ((\hdmi_ctrl_inst|encode_inst0|Add17~1 +// ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst0|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & !\hdmi_ctrl_inst|encode_inst0|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & +// ((!\hdmi_ctrl_inst|encode_inst0|Add17~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add17~1 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add17~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add17~2 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst0|Add17~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] $ (\hdmi_ctrl_inst|encode_inst0|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst0|Add17~3 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst0|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|cnt +// [2] & !\hdmi_ctrl_inst|encode_inst0|Add17~3 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add17~3 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add17~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add17~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add17~4 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst0|Add17~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((\hdmi_ctrl_inst|encode_inst0|Add17~5 ) # (GND))) +// \hdmi_ctrl_inst|encode_inst0|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst0|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3])) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [3]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add17~5 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add17~6_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add17~7 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add17~6 .lut_mask = 16'h3C3F; +defparam \hdmi_ctrl_inst|encode_inst0|Add17~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add17~8_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst0|Add17~7 ) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst0|Add17~7 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add17~8 .lut_mask = 16'hC3C3; +defparam \hdmi_ctrl_inst|encode_inst0|Add17~8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]) # (GND))) +// \hdmi_ctrl_inst|encode_inst0|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add23~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add23~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add23~0 .lut_mask = 16'h66DD; +defparam \hdmi_ctrl_inst|encode_inst0|Add23~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst0|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & +// (\hdmi_ctrl_inst|encode_inst0|Add23~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst0|Add23~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & +// (!\hdmi_ctrl_inst|encode_inst0|Add23~1 )))) +// \hdmi_ctrl_inst|encode_inst0|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst0|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & +// ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add23~1 )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add23~1 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add23~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add23~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add23~2 .lut_mask = 16'h694D; +defparam \hdmi_ctrl_inst|encode_inst0|Add23~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst0|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst0|Add23~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst0|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst0|Add23~3 )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add23~3 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add23~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add23~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add23~4 .lut_mask = 16'h5A05; +defparam \hdmi_ctrl_inst|encode_inst0|Add23~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst0|Add23~5 + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst0|Add23~5 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add23~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add23~6 .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst0|Add23~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] & VCC)) +// \hdmi_ctrl_inst|encode_inst0|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [0] & \hdmi_ctrl_inst|encode_inst0|q_m_n1 [0])) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [0]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add15~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add15~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add15~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst0|Add15~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & +// (!\hdmi_ctrl_inst|encode_inst0|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (!\hdmi_ctrl_inst|encode_inst0|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & ((\hdmi_ctrl_inst|encode_inst0|Add15~1 +// ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst0|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & !\hdmi_ctrl_inst|encode_inst0|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & +// ((!\hdmi_ctrl_inst|encode_inst0|Add15~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add15~1 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add15~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add15~2 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst0|Add15~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] $ (\hdmi_ctrl_inst|encode_inst0|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst0|Add15~3 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst0|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst0|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|cnt +// [2] & !\hdmi_ctrl_inst|encode_inst0|Add15~3 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add15~3 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add15~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add15~4 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst0|Add15~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & +// (!\hdmi_ctrl_inst|encode_inst0|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((\hdmi_ctrl_inst|encode_inst0|Add15~5 +// ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst0|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & !\hdmi_ctrl_inst|encode_inst0|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & +// ((!\hdmi_ctrl_inst|encode_inst0|Add15~5 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3])))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [3]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add15~5 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add15~7 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add15~6 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst0|Add15~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add15~8_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst0|Add15~7 ) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst0|Add15~7 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add15~8 .lut_mask = 16'hC3C3; +defparam \hdmi_ctrl_inst|encode_inst0|Add15~8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add19~3 & VCC)) +// \hdmi_ctrl_inst|encode_inst0|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [3] & !\hdmi_ctrl_inst|encode_inst0|Add19~3 )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add19~3 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add19~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add19~4 .lut_mask = 16'hA50A; +defparam \hdmi_ctrl_inst|encode_inst0|Add19~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add22~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst0|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst0|Add22~3 )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add22~3 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add22~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add22~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add22~4 .lut_mask = 16'h5AAF; +defparam \hdmi_ctrl_inst|encode_inst0|Add22~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & (\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & +// (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & +// ((\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst0|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & +// ((!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~16_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add16~15_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ), + .combout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst0|Add16~12_combout $ (\hdmi_ctrl_inst|encode_inst0|Add16~14_combout $ (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst0|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~12_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ) # (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~12_combout & +// (\hdmi_ctrl_inst|encode_inst0|Add16~14_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~12_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ), + .combout(\hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & (\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & +// (!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & +// ((\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst0|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & +// ((!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~8_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add16~10_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ), + .combout(\hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X40_Y22_N31 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] $ (GND))) +// \hdmi_ctrl_inst|encode_inst1|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add20~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add20~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add20~0 .lut_mask = 16'h66BB; +defparam \hdmi_ctrl_inst|encode_inst1|Add20~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst1|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & +// ((\hdmi_ctrl_inst|encode_inst1|Add20~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|Add20~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & +// (!\hdmi_ctrl_inst|encode_inst1|Add20~1 )))) +// \hdmi_ctrl_inst|encode_inst1|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((!\hdmi_ctrl_inst|encode_inst1|Add20~1 ) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & +// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst1|Add20~1 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add20~1 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add20~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add20~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add20~2 .lut_mask = 16'h692B; +defparam \hdmi_ctrl_inst|encode_inst1|Add20~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst1|Add20~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst1|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst1|Add20~3 )) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add20~3 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add20~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add20~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add20~4 .lut_mask = 16'h3CCF; +defparam \hdmi_ctrl_inst|encode_inst1|Add20~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst1|Add20~5 + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst1|Add20~5 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add20~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add20~6 .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst1|Add20~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [0] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [0] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & VCC)) +// \hdmi_ctrl_inst|encode_inst1|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [0] & \hdmi_ctrl_inst|encode_inst1|q_m_n1 [0])) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [0]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add17~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add17~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add17~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst1|Add17~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst1|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & +// (!\hdmi_ctrl_inst|encode_inst1|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst1|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & +// ((\hdmi_ctrl_inst|encode_inst1|Add17~1 ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst1|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst1|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((!\hdmi_ctrl_inst|encode_inst1|Add17~1 ) +// # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [1]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add17~1 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add17~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add17~2 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst1|Add17~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst1|cnt [2] $ (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst1|Add17~3 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst1|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 +// [2] & !\hdmi_ctrl_inst|encode_inst1|Add17~3 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [2]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add17~3 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add17~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add17~4 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst1|Add17~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|Add17~5 ) # (GND))) +// \hdmi_ctrl_inst|encode_inst1|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst1|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3])) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add17~5 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add17~6_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add17~7 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add17~6 .lut_mask = 16'h5A5F; +defparam \hdmi_ctrl_inst|encode_inst1|Add17~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add17~8_combout = \hdmi_ctrl_inst|encode_inst1|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst1|Add17~7 ) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [4]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst1|Add17~7 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add17~8 .lut_mask = 16'hA5A5; +defparam \hdmi_ctrl_inst|encode_inst1|Add17~8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]) # (GND))) +// \hdmi_ctrl_inst|encode_inst1|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1])) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add23~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add23~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add23~0 .lut_mask = 16'h66DD; +defparam \hdmi_ctrl_inst|encode_inst1|Add23~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst1|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst1|Add23~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst1|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst1|Add23~3 )) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add23~3 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add23~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add23~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add23~4 .lut_mask = 16'h3C03; +defparam \hdmi_ctrl_inst|encode_inst1|Add23~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst1|Add23~5 + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst1|Add23~5 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add23~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add23~6 .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst1|Add23~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst1|cnt [1] & (\hdmi_ctrl_inst|encode_inst1|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & +// (!\hdmi_ctrl_inst|encode_inst1|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|Add15~1 +// ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst1|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & !\hdmi_ctrl_inst|encode_inst1|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & +// ((!\hdmi_ctrl_inst|encode_inst1|Add15~1 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst1|cnt [1]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add15~1 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add15~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add15~2 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst1|Add15~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst1|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & +// (!\hdmi_ctrl_inst|encode_inst1|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst1|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & +// ((\hdmi_ctrl_inst|encode_inst1|Add15~5 ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst1|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst1|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((!\hdmi_ctrl_inst|encode_inst1|Add15~5 ) +// # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3])))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add15~5 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add15~7 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add15~6 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst1|Add15~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add15~8_combout = \hdmi_ctrl_inst|encode_inst1|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst1|Add15~7 ) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [4]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst1|Add15~7 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add15~8 .lut_mask = 16'hA5A5; +defparam \hdmi_ctrl_inst|encode_inst1|Add15~8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] & VCC)) +// \hdmi_ctrl_inst|encode_inst1|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & \hdmi_ctrl_inst|encode_inst1|cnt [1])) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .datab(\hdmi_ctrl_inst|encode_inst1|cnt [1]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add19~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add19~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add19~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst1|Add19~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [2] & (!\hdmi_ctrl_inst|encode_inst1|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|Add19~1 ) # (GND))) +// \hdmi_ctrl_inst|encode_inst1|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst1|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2])) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [2]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add19~1 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add19~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add19~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add19~2 .lut_mask = 16'h5A5F; +defparam \hdmi_ctrl_inst|encode_inst1|Add19~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & (\hdmi_ctrl_inst|encode_inst1|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|Add19~3 & VCC)) +// \hdmi_ctrl_inst|encode_inst1|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [3] & !\hdmi_ctrl_inst|encode_inst1|Add19~3 )) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add19~3 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add19~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add19~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add19~4 .lut_mask = 16'hA50A; +defparam \hdmi_ctrl_inst|encode_inst1|Add19~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add19~6_combout = \hdmi_ctrl_inst|encode_inst1|Add19~5 $ (\hdmi_ctrl_inst|encode_inst1|cnt [4]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]), + .cin(\hdmi_ctrl_inst|encode_inst1|Add19~5 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add19~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add19~6 .lut_mask = 16'h0FF0; +defparam \hdmi_ctrl_inst|encode_inst1|Add19~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & ((\hdmi_ctrl_inst|encode_inst1|cnt [1]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] $ (VCC))) +// \hdmi_ctrl_inst|encode_inst1|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]) # (\hdmi_ctrl_inst|encode_inst1|cnt [1])) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .datab(\hdmi_ctrl_inst|encode_inst1|cnt [1]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add22~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add22~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add22~0 .lut_mask = 16'h99EE; +defparam \hdmi_ctrl_inst|encode_inst1|Add22~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & (!\hdmi_ctrl_inst|encode_inst1|Add22~1 )) +// \hdmi_ctrl_inst|encode_inst1|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst1|cnt [2] & !\hdmi_ctrl_inst|encode_inst1|Add22~1 )) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [2]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add22~1 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add22~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add22~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add22~2 .lut_mask = 16'hA505; +defparam \hdmi_ctrl_inst|encode_inst1|Add22~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & (\hdmi_ctrl_inst|encode_inst1|Add22~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst1|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst1|Add22~3 )) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add22~3 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add22~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add22~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add22~4 .lut_mask = 16'h5AAF; +defparam \hdmi_ctrl_inst|encode_inst1|Add22~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X40_Y23_N7 +dffeas \hdmi_ctrl_inst|encode_inst1|data_out[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_out [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] $ (GND))) +// \hdmi_ctrl_inst|encode_inst2|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1])) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add20~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add20~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add20~0 .lut_mask = 16'h66BB; +defparam \hdmi_ctrl_inst|encode_inst2|Add20~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst2|Add20~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst2|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst2|Add20~3 )) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add20~3 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add20~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add20~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add20~4 .lut_mask = 16'h3CCF; +defparam \hdmi_ctrl_inst|encode_inst2|Add20~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [0] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [0] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & VCC)) +// \hdmi_ctrl_inst|encode_inst2|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [0] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [0])) + + .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [0]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add17~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add17~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add17~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst2|Add17~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & +// (!\hdmi_ctrl_inst|encode_inst2|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (!\hdmi_ctrl_inst|encode_inst2|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|Add17~1 +// ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst2|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & !\hdmi_ctrl_inst|encode_inst2|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & +// ((!\hdmi_ctrl_inst|encode_inst2|Add17~1 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [1]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add17~1 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add17~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add17~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add17~2 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst2|Add17~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] $ (\hdmi_ctrl_inst|encode_inst2|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst2|Add17~3 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst2|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst2|cnt +// [2] & !\hdmi_ctrl_inst|encode_inst2|Add17~3 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add17~3 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add17~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add17~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add17~4 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst2|Add17~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((\hdmi_ctrl_inst|encode_inst2|Add17~5 ) # (GND))) +// \hdmi_ctrl_inst|encode_inst2|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst2|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3])) + + .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add17~5 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add17~6_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add17~7 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add17~6 .lut_mask = 16'h5A5F; +defparam \hdmi_ctrl_inst|encode_inst2|Add17~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]) # (GND))) +// \hdmi_ctrl_inst|encode_inst2|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1])) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add23~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add23~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add23~0 .lut_mask = 16'h66DD; +defparam \hdmi_ctrl_inst|encode_inst2|Add23~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst2|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst2|Add23~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst2|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst2|Add23~3 )) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add23~3 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add23~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add23~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add23~4 .lut_mask = 16'h3C03; +defparam \hdmi_ctrl_inst|encode_inst2|Add23~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & +// (!\hdmi_ctrl_inst|encode_inst2|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (!\hdmi_ctrl_inst|encode_inst2|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|Add15~1 +// ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst2|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & !\hdmi_ctrl_inst|encode_inst2|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & +// ((!\hdmi_ctrl_inst|encode_inst2|Add15~1 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [1]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add15~1 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add15~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add15~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add15~2 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst2|Add15~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst2|cnt [2] $ (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst2|Add15~3 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst2|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 +// [2] & !\hdmi_ctrl_inst|encode_inst2|Add15~3 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [2]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add15~3 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add15~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add15~4 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst2|Add15~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & +// (!\hdmi_ctrl_inst|encode_inst2|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((\hdmi_ctrl_inst|encode_inst2|Add15~5 +// ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst2|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & !\hdmi_ctrl_inst|encode_inst2|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & +// ((!\hdmi_ctrl_inst|encode_inst2|Add15~5 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3])))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [3]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add15~5 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add15~6_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add15~7 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add15~6 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst2|Add15~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add15~8_combout = \hdmi_ctrl_inst|encode_inst2|Add15~7 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]), + .cin(\hdmi_ctrl_inst|encode_inst2|Add15~7 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add15~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add15~8 .lut_mask = 16'hF00F; +defparam \hdmi_ctrl_inst|encode_inst2|Add15~8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [2] & (!\hdmi_ctrl_inst|encode_inst2|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & ((\hdmi_ctrl_inst|encode_inst2|Add19~1 ) # (GND))) +// \hdmi_ctrl_inst|encode_inst2|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst2|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2])) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add19~1 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add19~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add19~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add19~2 .lut_mask = 16'h3C3F; +defparam \hdmi_ctrl_inst|encode_inst2|Add19~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add19~3 & VCC)) +// \hdmi_ctrl_inst|encode_inst2|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [3] & !\hdmi_ctrl_inst|encode_inst2|Add19~3 )) + + .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add19~3 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add19~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add19~4 .lut_mask = 16'hA50A; +defparam \hdmi_ctrl_inst|encode_inst2|Add19~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add19~6_combout = \hdmi_ctrl_inst|encode_inst2|Add19~5 $ (\hdmi_ctrl_inst|encode_inst2|cnt [4]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]), + .cin(\hdmi_ctrl_inst|encode_inst2|Add19~5 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add19~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add19~6 .lut_mask = 16'h0FF0; +defparam \hdmi_ctrl_inst|encode_inst2|Add19~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] $ (VCC))) +// \hdmi_ctrl_inst|encode_inst2|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [1]) # (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) + + .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add22~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add22~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add22~0 .lut_mask = 16'h99EE; +defparam \hdmi_ctrl_inst|encode_inst2|Add22~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & (!\hdmi_ctrl_inst|encode_inst2|Add22~1 )) +// \hdmi_ctrl_inst|encode_inst2|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst2|cnt [2] & !\hdmi_ctrl_inst|encode_inst2|Add22~1 )) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add22~1 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add22~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add22~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add22~2 .lut_mask = 16'hC303; +defparam \hdmi_ctrl_inst|encode_inst2|Add22~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add22~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst2|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst2|Add22~3 )) + + .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add22~3 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add22~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add22~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add22~4 .lut_mask = 16'h5AAF; +defparam \hdmi_ctrl_inst|encode_inst2|Add22~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add22~6_combout = \hdmi_ctrl_inst|encode_inst2|Add22~5 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]), + .cin(\hdmi_ctrl_inst|encode_inst2|Add22~5 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add22~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add22~6 .lut_mask = 16'hF00F; +defparam \hdmi_ctrl_inst|encode_inst2|Add22~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X40_Y24_N11 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[4] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X39_Y22_N19 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[6] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [6]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[6] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[6] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y21_N7 +dffeas \hdmi_ctrl_inst|encode_inst1|data_out[7] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_out [7]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[7] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[7] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N10 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~2 ( +// Equation(s): +// \vga_ctrl_inst|Add0~2_combout = (\vga_ctrl_inst|cnt_h [1] & (!\vga_ctrl_inst|Add0~1 )) # (!\vga_ctrl_inst|cnt_h [1] & ((\vga_ctrl_inst|Add0~1 ) # (GND))) +// \vga_ctrl_inst|Add0~3 = CARRY((!\vga_ctrl_inst|Add0~1 ) # (!\vga_ctrl_inst|cnt_h [1])) + + .dataa(\vga_ctrl_inst|cnt_h [1]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~1 ), + .combout(\vga_ctrl_inst|Add0~2_combout ), + .cout(\vga_ctrl_inst|Add0~3 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~2 .lut_mask = 16'h5A5F; +defparam \vga_ctrl_inst|Add0~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N18 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~10 ( +// Equation(s): +// \vga_ctrl_inst|Add0~10_combout = (\vga_ctrl_inst|cnt_h [5] & (!\vga_ctrl_inst|Add0~9 )) # (!\vga_ctrl_inst|cnt_h [5] & ((\vga_ctrl_inst|Add0~9 ) # (GND))) +// \vga_ctrl_inst|Add0~11 = CARRY((!\vga_ctrl_inst|Add0~9 ) # (!\vga_ctrl_inst|cnt_h [5])) + + .dataa(\vga_ctrl_inst|cnt_h [5]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~9 ), + .combout(\vga_ctrl_inst|Add0~10_combout ), + .cout(\vga_ctrl_inst|Add0~11 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~10 .lut_mask = 16'h5A5F; +defparam \vga_ctrl_inst|Add0~10 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N4 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~2 ( +// Equation(s): +// \vga_ctrl_inst|Add1~2_combout = (\vga_ctrl_inst|cnt_v [1] & (!\vga_ctrl_inst|Add1~1 )) # (!\vga_ctrl_inst|cnt_v [1] & ((\vga_ctrl_inst|Add1~1 ) # (GND))) +// \vga_ctrl_inst|Add1~3 = CARRY((!\vga_ctrl_inst|Add1~1 ) # (!\vga_ctrl_inst|cnt_v [1])) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_v [1]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~1 ), + .combout(\vga_ctrl_inst|Add1~2_combout ), + .cout(\vga_ctrl_inst|Add1~3 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~2 .lut_mask = 16'h3C3F; +defparam \vga_ctrl_inst|Add1~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N8 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~6 ( +// Equation(s): +// \vga_ctrl_inst|Add1~6_combout = (\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|Add1~5 )) # (!\vga_ctrl_inst|cnt_v [3] & ((\vga_ctrl_inst|Add1~5 ) # (GND))) +// \vga_ctrl_inst|Add1~7 = CARRY((!\vga_ctrl_inst|Add1~5 ) # (!\vga_ctrl_inst|cnt_v [3])) + + .dataa(\vga_ctrl_inst|cnt_v [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~5 ), + .combout(\vga_ctrl_inst|Add1~6_combout ), + .cout(\vga_ctrl_inst|Add1~7 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~6 .lut_mask = 16'h5A5F; +defparam \vga_ctrl_inst|Add1~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N10 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~8 ( +// Equation(s): +// \vga_ctrl_inst|Add1~8_combout = (\vga_ctrl_inst|cnt_v [4] & (\vga_ctrl_inst|Add1~7 $ (GND))) # (!\vga_ctrl_inst|cnt_v [4] & (!\vga_ctrl_inst|Add1~7 & VCC)) +// \vga_ctrl_inst|Add1~9 = CARRY((\vga_ctrl_inst|cnt_v [4] & !\vga_ctrl_inst|Add1~7 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_v [4]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~7 ), + .combout(\vga_ctrl_inst|Add1~8_combout ), + .cout(\vga_ctrl_inst|Add1~9 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~8 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add1~8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N12 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~10 ( +// Equation(s): +// \vga_ctrl_inst|Add1~10_combout = (\vga_ctrl_inst|cnt_v [5] & (!\vga_ctrl_inst|Add1~9 )) # (!\vga_ctrl_inst|cnt_v [5] & ((\vga_ctrl_inst|Add1~9 ) # (GND))) +// \vga_ctrl_inst|Add1~11 = CARRY((!\vga_ctrl_inst|Add1~9 ) # (!\vga_ctrl_inst|cnt_v [5])) + + .dataa(\vga_ctrl_inst|cnt_v [5]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~9 ), + .combout(\vga_ctrl_inst|Add1~10_combout ), + .cout(\vga_ctrl_inst|Add1~11 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~10 .lut_mask = 16'h5A5F; +defparam \vga_ctrl_inst|Add1~10 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N14 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~12 ( +// Equation(s): +// \vga_ctrl_inst|Add1~12_combout = (\vga_ctrl_inst|cnt_v [6] & (\vga_ctrl_inst|Add1~11 $ (GND))) # (!\vga_ctrl_inst|cnt_v [6] & (!\vga_ctrl_inst|Add1~11 & VCC)) +// \vga_ctrl_inst|Add1~13 = CARRY((\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|Add1~11 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_v [6]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~11 ), + .combout(\vga_ctrl_inst|Add1~12_combout ), + .cout(\vga_ctrl_inst|Add1~13 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~12 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add1~12 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N16 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~14 ( +// Equation(s): +// \vga_ctrl_inst|Add1~14_combout = (\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|Add1~13 )) # (!\vga_ctrl_inst|cnt_v [7] & ((\vga_ctrl_inst|Add1~13 ) # (GND))) +// \vga_ctrl_inst|Add1~15 = CARRY((!\vga_ctrl_inst|Add1~13 ) # (!\vga_ctrl_inst|cnt_v [7])) + + .dataa(\vga_ctrl_inst|cnt_v [7]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~13 ), + .combout(\vga_ctrl_inst|Add1~14_combout ), + .cout(\vga_ctrl_inst|Add1~15 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~14 .lut_mask = 16'h5A5F; +defparam \vga_ctrl_inst|Add1~14 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N18 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~16 ( +// Equation(s): +// \vga_ctrl_inst|Add1~16_combout = (\vga_ctrl_inst|cnt_v [8] & (\vga_ctrl_inst|Add1~15 $ (GND))) # (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|Add1~15 & VCC)) +// \vga_ctrl_inst|Add1~17 = CARRY((\vga_ctrl_inst|cnt_v [8] & !\vga_ctrl_inst|Add1~15 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_v [8]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~15 ), + .combout(\vga_ctrl_inst|Add1~16_combout ), + .cout(\vga_ctrl_inst|Add1~17 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~16 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add1~16 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N20 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~18 ( +// Equation(s): +// \vga_ctrl_inst|Add1~18_combout = (\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|Add1~17 )) # (!\vga_ctrl_inst|cnt_v [9] & ((\vga_ctrl_inst|Add1~17 ) # (GND))) +// \vga_ctrl_inst|Add1~19 = CARRY((!\vga_ctrl_inst|Add1~17 ) # (!\vga_ctrl_inst|cnt_v [9])) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_v [9]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~17 ), + .combout(\vga_ctrl_inst|Add1~18_combout ), + .cout(\vga_ctrl_inst|Add1~19 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~18 .lut_mask = 16'h3C3F; +defparam \vga_ctrl_inst|Add1~18 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N22 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~20 ( +// Equation(s): +// \vga_ctrl_inst|Add1~20_combout = (\vga_ctrl_inst|cnt_v [10] & (\vga_ctrl_inst|Add1~19 $ (GND))) # (!\vga_ctrl_inst|cnt_v [10] & (!\vga_ctrl_inst|Add1~19 & VCC)) +// \vga_ctrl_inst|Add1~21 = CARRY((\vga_ctrl_inst|cnt_v [10] & !\vga_ctrl_inst|Add1~19 )) + + .dataa(\vga_ctrl_inst|cnt_v [10]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~19 ), + .combout(\vga_ctrl_inst|Add1~20_combout ), + .cout(\vga_ctrl_inst|Add1~21 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~20 .lut_mask = 16'hA50A; +defparam \vga_ctrl_inst|Add1~20 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X40_Y20_N11 +dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y20_N15 +dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 .lut_mask = 16'h00F0; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N15 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|Add12~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) # +// (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|condition_3~1 .lut_mask = 16'h0A8E; +defparam \hdmi_ctrl_inst|encode_inst0|condition_3~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal1~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst0|cnt [4] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & !\hdmi_ctrl_inst|encode_inst0|cnt [0]))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [4]), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]), + .datac(\hdmi_ctrl_inst|encode_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|encode_inst0|cnt [0]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Equal1~0 .lut_mask = 16'h0001; +defparam \hdmi_ctrl_inst|encode_inst0|Equal1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N27 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X39_Y24_N19 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|Add14~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))) # +// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]) # ((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|condition_3~1 .lut_mask = 16'h4F04; +defparam \hdmi_ctrl_inst|encode_inst2|condition_3~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N31 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out~3_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]) # (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 .lut_mask = 16'hFFF0; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ) # (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add23~6_combout & ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add23~6_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~0 .lut_mask = 16'hCCE2; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ) # ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~0_combout +// & (((\hdmi_ctrl_inst|encode_inst0|Add20~6_combout & \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|Add20~6_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~1 .lut_mask = 16'hD8AA; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~3 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & +// ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|Add17~6_combout ))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add23~4_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add23~4_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|Add17~6_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~3 .lut_mask = 16'hF2C2; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~3_combout & (((\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~3_combout +// & (\hdmi_ctrl_inst|encode_inst0|Add20~4_combout & ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add20~4_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|Add16~3_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~4 .lut_mask = 16'hCAF0; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~5 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~5_combout = (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ))) # +// (!\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst0|Add22~4_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|Add22~4_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~5 .lut_mask = 16'h3210; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst0|Add20~2_combout )) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add23~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add20~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add23~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~7_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~7 .lut_mask = 16'hFA0C; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~7_combout & ((\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ) # ((!\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~7_combout +// & (((\hdmi_ctrl_inst|encode_inst0|Add17~4_combout & \hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~7_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|Add17~4_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~8 .lut_mask = 16'hD8AA; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~11 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ) # ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|Add23~0_combout & !\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add23~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~11_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~11 .lut_mask = 16'hF0AC; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~11 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~12 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~11_combout & ((\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ) # ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst0|Add16~11_combout & (((\hdmi_ctrl_inst|encode_inst0|Add20~0_combout & \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~11_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|Add20~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~12_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~12 .lut_mask = 16'hD8AA; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~12 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~16 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|cnt [0]))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]), + .datad(\hdmi_ctrl_inst|encode_inst0|cnt [0]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~16_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~16 .lut_mask = 16'h5F0A; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~16 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal1~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Equal1~1_combout = (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & \hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst0|cnt [3]), + .datad(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Equal1~1 .lut_mask = 16'h0F00; +defparam \hdmi_ctrl_inst|encode_inst0|Equal1~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add12~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add12~1_combout = (!\hdmi_ctrl_inst|encode_inst0|data_in_reg [4] & \hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add12~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add12~1 .lut_mask = 16'h3300; +defparam \hdmi_ctrl_inst|encode_inst0|Add12~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N7 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out~2_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [3]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out~2 .lut_mask = 16'h995A; +defparam \hdmi_ctrl_inst|encode_inst0|data_out~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N15 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3]))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [4]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 .lut_mask = 16'hACAC; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ) # (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~6_combout & ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add23~6_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~0 .lut_mask = 16'hAAE4; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~0_combout & ((\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ) # ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~0_combout +// & (((\hdmi_ctrl_inst|encode_inst1|Add20~6_combout & \hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add20~6_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|Add16~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~1 .lut_mask = 16'hACF0; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~5 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~5_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3])))) # +// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add22~4_combout & (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add22~4_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~5 .lut_mask = 16'hA4AE; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & \hdmi_ctrl_inst|encode_inst1|Add19~4_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add19~4_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~6 .lut_mask = 16'hF8F8; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & +// ((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add22~2_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add22~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~7_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~7 .lut_mask = 16'hAF44; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~7_combout & (((!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~7_combout & +// (\hdmi_ctrl_inst|encode_inst1|Add19~2_combout & (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add19~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add16~7_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~8 .lut_mask = 16'h2CEC; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~13 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ) # (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~0_combout & ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add23~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~13_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~13 .lut_mask = 16'hF0CA; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~13 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~14 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~13_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst1|Add16~13_combout & (\hdmi_ctrl_inst|encode_inst1|Add20~0_combout & ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add20~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add16~13_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~14_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~14 .lut_mask = 16'hE2CC; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~14 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~16 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|cnt [0]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]), + .datad(\hdmi_ctrl_inst|encode_inst1|cnt [0]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~16_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~16 .lut_mask = 16'h3F0C; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~16 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal2~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Equal2~1_combout = (\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Equal2~1 .lut_mask = 16'h00AA; +defparam \hdmi_ctrl_inst|encode_inst1|Equal2~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N31 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X37_Y21_N1 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out~2_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [2] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [2]), + .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out~2 .lut_mask = 16'hA53C; +defparam \hdmi_ctrl_inst|encode_inst1|data_out~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst2|Add19~6_combout )) # +// (!\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst2|Add22~6_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add19~6_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add22~6_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~2 .lut_mask = 16'hFAFC; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~3 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & +// ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add17~6_combout )) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add23~4_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add17~6_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|Add23~4_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~3 .lut_mask = 16'hE3E0; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~3_combout & ((\hdmi_ctrl_inst|encode_inst2|Add15~6_combout ) # ((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~3_combout +// & (((\hdmi_ctrl_inst|encode_inst2|Add20~4_combout & \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add15~6_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add20~4_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|Add16~3_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~4 .lut_mask = 16'hACF0; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~9 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2])))) # +// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add22~2_combout & ((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add22~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~9_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~9 .lut_mask = 16'hAA4E; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~9 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~10 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~9_combout & (((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2])))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~9_combout & +// (\hdmi_ctrl_inst|encode_inst2|Add19~2_combout & ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add19~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]), + .datac(\hdmi_ctrl_inst|encode_inst2|Add16~9_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~10_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~10 .lut_mask = 16'h3AF0; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~10 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~11 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|Add17~2_combout ) # (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add23~0_combout & ((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add23~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add17~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~11_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~11 .lut_mask = 16'hF0CA; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~11 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~12 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~11_combout & (((\hdmi_ctrl_inst|encode_inst2|Add15~2_combout ) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst2|Add16~11_combout & (\hdmi_ctrl_inst|encode_inst2|Add20~0_combout & ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add20~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add15~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|Add16~11_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~12_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~12 .lut_mask = 16'hCAF0; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~12 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~13 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]))) # +// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|Add22~0_combout & !\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]), + .datac(\hdmi_ctrl_inst|encode_inst2|Add22~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~13_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~13 .lut_mask = 16'hAA72; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~13 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~16 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|cnt [0])) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [0]), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~16_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~16 .lut_mask = 16'h0FCC; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~16 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal2~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Equal2~1_combout = (\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Equal2~1 .lut_mask = 16'h00CC; +defparam \hdmi_ctrl_inst|encode_inst2|Equal2~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add14~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]), + .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add14~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add14~1 .lut_mask = 16'hC00C; +defparam \hdmi_ctrl_inst|encode_inst2|Add14~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y24_N25 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out~2_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [3]), + .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out~2 .lut_mask = 16'h939C; +defparam \hdmi_ctrl_inst|encode_inst2|data_out~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~3 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out~3_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datac(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out~3 .lut_mask = 16'hF303; +defparam \hdmi_ctrl_inst|encode_inst2|data_out~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N10 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~0 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~0_combout = (!\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|cnt_v [8]))) + + .dataa(\vga_ctrl_inst|cnt_v [9]), + .datab(\vga_ctrl_inst|cnt_v [7]), + .datac(\vga_ctrl_inst|cnt_v [6]), + .datad(\vga_ctrl_inst|cnt_v [8]), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~0 .lut_mask = 16'h0001; +defparam \vga_ctrl_inst|pix_data_req~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X32_Y20_N31 +dffeas \vga_ctrl_inst|cnt_v[10] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[10]~12_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [10]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[10] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[10] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y20_N4 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~3 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~3_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~2_combout & ((\vga_ctrl_inst|always1~0_combout ) # (!\vga_ctrl_inst|cnt_v [9])))) + + .dataa(\vga_ctrl_inst|pix_data_req~1_combout ), + .datab(\vga_ctrl_inst|cnt_v [9]), + .datac(\vga_ctrl_inst|always1~0_combout ), + .datad(\vga_ctrl_inst|pix_data_req~2_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~3_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~3 .lut_mask = 16'hA200; +defparam \vga_ctrl_inst|pix_data_req~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout = \hdmi_ctrl_inst|encode_inst0|data_in_reg [3] $ (\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]), + .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 .lut_mask = 16'h0FF0; +defparam \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N11 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~5 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out~5_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [4]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out~5_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out~5 .lut_mask = 16'h995A; +defparam \hdmi_ctrl_inst|encode_inst0|data_out~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N19 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [6])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_out [6]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 .lut_mask = 16'hCFC0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N31 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [5]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y21_N27 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [7])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4]))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [7]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 .lut_mask = 16'hB8B8; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N21 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out~4_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [4]), + .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out~4 .lut_mask = 16'hA53C; +defparam \hdmi_ctrl_inst|encode_inst1|data_out~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout = \hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 .lut_mask = 16'h0FF0; +defparam \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N9 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~5 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out~5_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [4]), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out~5_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out~5 .lut_mask = 16'hC366; +defparam \hdmi_ctrl_inst|encode_inst2|data_out~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N13 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X37_Y20_N11 +dffeas \vga_ctrl_inst|cnt_h[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~2_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [1]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[1] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N26 +cycloneive_lcell_comb \vga_ctrl_inst|pix_x[10]~1 ( +// Equation(s): +// \vga_ctrl_inst|pix_x[10]~1_combout = (\vga_ctrl_inst|Add2~18_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_ctrl_inst|Add2~18_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_x[10]~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_x[10]~1 .lut_mask = 16'hFF0F; +defparam \vga_ctrl_inst|pix_x[10]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N10 +cycloneive_lcell_comb \vga_pic_inst|always0~1 ( +// Equation(s): +// \vga_pic_inst|always0~1_combout = (\vga_ctrl_inst|Add2~14_combout ) # ((\vga_ctrl_inst|Add2~12_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )) + + .dataa(\vga_ctrl_inst|Add2~14_combout ), + .datab(gnd), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_ctrl_inst|Add2~12_combout ), + .cin(gnd), + .combout(\vga_pic_inst|always0~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|always0~1 .lut_mask = 16'hFFAF; +defparam \vga_pic_inst|always0~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N12 +cycloneive_lcell_comb \vga_pic_inst|always0~2 ( +// Equation(s): +// \vga_pic_inst|always0~2_combout = (\vga_pic_inst|always0~1_combout ) # ((\vga_ctrl_inst|Add2~18_combout ) # ((\vga_ctrl_inst|Add2~16_combout ) # (\vga_pic_inst|LessThan17~2_combout ))) + + .dataa(\vga_pic_inst|always0~1_combout ), + .datab(\vga_ctrl_inst|Add2~18_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_pic_inst|LessThan17~2_combout ), + .cin(gnd), + .combout(\vga_pic_inst|always0~2_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|always0~2 .lut_mask = 16'hFFFE; +defparam \vga_pic_inst|always0~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N6 +cycloneive_lcell_comb \vga_pic_inst|pix_data[9]~14 ( +// Equation(s): +// \vga_pic_inst|pix_data[9]~14_combout = (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~14_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_ctrl_inst|Add2~14_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data[9]~14_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[9]~14 .lut_mask = 16'h00F0; +defparam \vga_pic_inst|pix_data[9]~14 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N18 +cycloneive_lcell_comb \vga_pic_inst|pix_data~16 ( +// Equation(s): +// \vga_pic_inst|pix_data~16_combout = (\vga_pic_inst|always0~2_combout & (!\vga_pic_inst|pix_data[9]~15_combout & (!\vga_ctrl_inst|pix_x[11]~0_combout & \vga_pic_inst|pix_data[13]~9_combout ))) + + .dataa(\vga_pic_inst|always0~2_combout ), + .datab(\vga_pic_inst|pix_data[9]~15_combout ), + .datac(\vga_ctrl_inst|pix_x[11]~0_combout ), + .datad(\vga_pic_inst|pix_data[13]~9_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~16_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~16 .lut_mask = 16'h0200; +defparam \vga_pic_inst|pix_data~16 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y20_N22 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~8 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~8_combout = (!\vga_ctrl_inst|cnt_v [11] & !\vga_ctrl_inst|cnt_v [10]) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_v [11]), + .datac(\vga_ctrl_inst|cnt_v [10]), + .datad(gnd), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~8_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~8 .lut_mask = 16'h0303; +defparam \vga_ctrl_inst|pix_data_req~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N30 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[10]~12 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[10]~12_combout = (\vga_ctrl_inst|Add1~20_combout & (((!\vga_ctrl_inst|Equal0~3_combout & \vga_ctrl_inst|cnt_v [10])) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~20_combout & (!\vga_ctrl_inst|Equal0~3_combout +// & (\vga_ctrl_inst|cnt_v [10]))) + + .dataa(\vga_ctrl_inst|Add1~20_combout ), + .datab(\vga_ctrl_inst|Equal0~3_combout ), + .datac(\vga_ctrl_inst|cnt_v [10]), + .datad(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[10]~12_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[10]~12 .lut_mask = 16'h30BA; +defparam \vga_ctrl_inst|cnt_v[10]~12 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout = \hdmi_ctrl_inst|encode_inst0|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]), + .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 .lut_mask = 16'h3C3C; +defparam \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 .lut_mask = 16'h33AA; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N23 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out~8_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [8]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[8] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[8] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst0|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [8]), + .datab(gnd), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 .lut_mask = 16'hA0A0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N22 +cycloneive_lcell_comb \vga_pic_inst|LessThan10~0 ( +// Equation(s): +// \vga_pic_inst|LessThan10~0_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~16_combout & ((\vga_pic_inst|LessThan17~2_combout ) # (!\vga_ctrl_inst|Add2~14_combout )))) + + .dataa(\vga_ctrl_inst|pix_data_req~7_combout ), + .datab(\vga_pic_inst|LessThan17~2_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_ctrl_inst|Add2~14_combout ), + .cin(gnd), + .combout(\vga_pic_inst|LessThan10~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|LessThan10~0 .lut_mask = 16'h080A; +defparam \vga_pic_inst|LessThan10~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N24 +cycloneive_lcell_comb \vga_pic_inst|pix_data~22 ( +// Equation(s): +// \vga_pic_inst|pix_data~22_combout = (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~10_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_ctrl_inst|Add2~10_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~22_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~22 .lut_mask = 16'h00F0; +defparam \vga_pic_inst|pix_data~22 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N2 +cycloneive_lcell_comb \vga_pic_inst|pix_data~23 ( +// Equation(s): +// \vga_pic_inst|pix_data~23_combout = (!\vga_ctrl_inst|Add2~14_combout & (\vga_pic_inst|pix_data~22_combout & (!\vga_ctrl_inst|Add2~16_combout & \vga_ctrl_inst|Add2~12_combout ))) + + .dataa(\vga_ctrl_inst|Add2~14_combout ), + .datab(\vga_pic_inst|pix_data~22_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_ctrl_inst|Add2~12_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~23_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~23 .lut_mask = 16'h0400; +defparam \vga_pic_inst|pix_data~23 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N20 +cycloneive_lcell_comb \vga_pic_inst|LessThan14~1 ( +// Equation(s): +// \vga_pic_inst|LessThan14~1_combout = (\vga_ctrl_inst|Add2~12_combout & \vga_ctrl_inst|Add2~10_combout ) + + .dataa(\vga_ctrl_inst|Add2~12_combout ), + .datab(gnd), + .datac(gnd), + .datad(\vga_ctrl_inst|Add2~10_combout ), + .cin(gnd), + .combout(\vga_pic_inst|LessThan14~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|LessThan14~1 .lut_mask = 16'hAA00; +defparam \vga_pic_inst|LessThan14~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N30 +cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~24 ( +// Equation(s): +// \vga_pic_inst|pix_data[13]~24_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_pic_inst|LessThan14~1_combout & (!\vga_ctrl_inst|Add2~16_combout & !\vga_ctrl_inst|Add2~14_combout ))) + + .dataa(\vga_ctrl_inst|pix_data_req~7_combout ), + .datab(\vga_pic_inst|LessThan14~1_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_ctrl_inst|Add2~14_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data[13]~24_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[13]~24 .lut_mask = 16'h0002; +defparam \vga_pic_inst|pix_data[13]~24 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N8 +cycloneive_lcell_comb \vga_pic_inst|pix_data~25 ( +// Equation(s): +// \vga_pic_inst|pix_data~25_combout = (!\vga_ctrl_inst|pix_x[10]~1_combout & ((\vga_pic_inst|pix_data~23_combout ) # ((\vga_pic_inst|LessThan10~0_combout & !\vga_pic_inst|pix_data[13]~24_combout )))) + + .dataa(\vga_pic_inst|LessThan10~0_combout ), + .datab(\vga_ctrl_inst|pix_x[10]~1_combout ), + .datac(\vga_pic_inst|pix_data[13]~24_combout ), + .datad(\vga_pic_inst|pix_data~23_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~25_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~25 .lut_mask = 16'h3302; +defparam \vga_pic_inst|pix_data~25 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout = \hdmi_ctrl_inst|encode_inst1|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]), + .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 .lut_mask = 16'hC33C; +defparam \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N7 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [7]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~5 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out~5_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [7] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [7]), + .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out~5_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out~5 .lut_mask = 16'h9A56; +defparam \hdmi_ctrl_inst|encode_inst1|data_out~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N9 +dffeas \hdmi_ctrl_inst|encode_inst1|data_out[9] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|data_out~6_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_out [9]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[9] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[9] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout = (\hdmi_ctrl_inst|encode_inst1|data_out [9] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|data_out [9]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 .lut_mask = 16'hC0C0; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout = \hdmi_ctrl_inst|encode_inst2|data_in_reg [3] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 .lut_mask = 16'h33CC; +defparam \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N23 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out~8_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [8]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[8] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[8] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst2|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [8]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(gnd), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 .lut_mask = 16'h8888; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out~8_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out~8 .lut_mask = 16'h8D8D; +defparam \hdmi_ctrl_inst|encode_inst0|data_out~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst1|data_in_reg [7] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]), + .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 .lut_mask = 16'hC33C; +defparam \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out~6_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst2|c1_reg2~q $ +// ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .datab(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ), + .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out~6 .lut_mask = 16'hEB41; +defparam \hdmi_ctrl_inst|encode_inst1|data_out~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out~8_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )) + + .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .datab(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out~8 .lut_mask = 16'hB1B1; +defparam \hdmi_ctrl_inst|encode_inst2|data_out~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N14 +cycloneive_lcell_comb \vga_pic_inst|pix_data~37 ( +// Equation(s): +// \vga_pic_inst|pix_data~37_combout = ((!\vga_ctrl_inst|Add2~18_combout & (\vga_ctrl_inst|pix_data_req~7_combout & \vga_pic_inst|pix_data~23_combout ))) # (!\vga_pic_inst|pix_data~16_combout ) + + .dataa(\vga_pic_inst|pix_data~16_combout ), + .datab(\vga_ctrl_inst|Add2~18_combout ), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_pic_inst|pix_data~23_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~37_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~37 .lut_mask = 16'h7555; +defparam \vga_pic_inst|pix_data~37 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: CLKCTRL_G8 +cycloneive_clkctrl \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl ( + .ena(vcc), + .inclk({vcc,vcc,vcc,\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0]}), + .clkselect(2'b00), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk )); +// synopsys translate_off +defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .clock_type = "global clock"; +defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~2_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out~2_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~2_combout + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out~2_combout ), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder .lut_mask = 16'hAAAA; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~2_combout + + .dataa(\hdmi_ctrl_inst|encode_inst2|data_out~2_combout ), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder .lut_mask = 16'hAAAA; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~5_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out~5_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~4_combout + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|data_out~4_combout ), + .datac(gnd), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder .lut_mask = 16'hCCCC; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~5_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out~5_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~5_combout + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out~5_combout ), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder .lut_mask = 16'hAAAA; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y13_N16 +cycloneive_io_obuf \ddc_scl~output ( + .i(vcc), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(ddc_scl), + .obar()); +// synopsys translate_off +defparam \ddc_scl~output .bus_hold = "false"; +defparam \ddc_scl~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y10_N16 +cycloneive_io_obuf \ddc_sda~output ( + .i(vcc), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(ddc_sda), + .obar()); +// synopsys translate_off +defparam \ddc_sda~output .bus_hold = "false"; +defparam \ddc_sda~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y21_N23 +cycloneive_io_obuf \tmds_clk_p~output ( + .i(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(tmds_clk_p), + .obar()); +// synopsys translate_off +defparam \tmds_clk_p~output .bus_hold = "false"; +defparam \tmds_clk_p~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y20_N2 +cycloneive_io_obuf \tmds_clk_n~output ( + .i(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(tmds_clk_n), + .obar()); +// synopsys translate_off +defparam \tmds_clk_n~output .bus_hold = "false"; +defparam \tmds_clk_n~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y22_N16 +cycloneive_io_obuf \tmds_data_p[0]~output ( + .i(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(tmds_data_p[0]), + .obar()); +// synopsys translate_off +defparam \tmds_data_p[0]~output .bus_hold = "false"; +defparam \tmds_data_p[0]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y23_N9 +cycloneive_io_obuf \tmds_data_p[1]~output ( + .i(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(tmds_data_p[1]), + .obar()); +// synopsys translate_off +defparam \tmds_data_p[1]~output .bus_hold = "false"; +defparam \tmds_data_p[1]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y24_N2 +cycloneive_io_obuf \tmds_data_p[2]~output ( + .i(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(tmds_data_p[2]), + .obar()); +// synopsys translate_off +defparam \tmds_data_p[2]~output .bus_hold = "false"; +defparam \tmds_data_p[2]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y22_N23 +cycloneive_io_obuf \tmds_data_n[0]~output ( + .i(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(tmds_data_n[0]), + .obar()); +// synopsys translate_off +defparam \tmds_data_n[0]~output .bus_hold = "false"; +defparam \tmds_data_n[0]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y23_N16 +cycloneive_io_obuf \tmds_data_n[1]~output ( + .i(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(tmds_data_n[1]), + .obar()); +// synopsys translate_off +defparam \tmds_data_n[1]~output .bus_hold = "false"; +defparam \tmds_data_n[1]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y24_N9 +cycloneive_io_obuf \tmds_data_n[2]~output ( + .i(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(tmds_data_n[2]), + .obar()); +// synopsys translate_off +defparam \tmds_data_n[2]~output .bus_hold = "false"; +defparam \tmds_data_n[2]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout = (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 .lut_mask = 16'h0303; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N25 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [1] $ (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 .lut_mask = 16'h0FF0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N19 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] $ (((\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 .lut_mask = 16'h3CF0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N17 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1]), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 .lut_mask = 16'h00AA; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N13 +dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N31 +dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) # (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 .lut_mask = 16'hFCFC; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N5 +dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 .lut_mask = 16'h00F0; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N3 +dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 .lut_mask = 16'h00CC; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N29 +dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 .lut_mask = 16'h00CC; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N23 +dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] .power_up = "low"; +// synopsys translate_on + +// Location: IOIBUF_X41_Y15_N22 +cycloneive_io_ibuf \sys_clk~input ( + .i(sys_clk), + .ibar(gnd), + .o(\sys_clk~input_o )); +// synopsys translate_off +defparam \sys_clk~input .bus_hold = "false"; +defparam \sys_clk~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: CLKCTRL_G9 +cycloneive_clkctrl \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl ( + .ena(vcc), + .inclk({vcc,vcc,vcc,\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [1]}), + .clkselect(2'b00), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk )); +// synopsys translate_off +defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl .clock_type = "global clock"; +defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: DDIOOUTCELL_X41_Y21_N25 +cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] ( + .datainlo(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]), + .datainhi(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]), + .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clk(gnd), + .ena(vcc), + .areset(gnd), + .sreset(gnd), + .devclrn(devclrn), + .devpor(devpor), + .dataout(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .dfflo(), + .dffhi()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true"; +// synopsys translate_on + +// Location: DDIOOUTCELL_X41_Y20_N4 +cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] ( + .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]), + .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]), + .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clk(gnd), + .ena(vcc), + .areset(gnd), + .sreset(gnd), + .devclrn(devclrn), + .devpor(devpor), + .dataout(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .dfflo(), + .dffhi()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N8 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~0 ( +// Equation(s): +// \vga_ctrl_inst|Add0~0_combout = \vga_ctrl_inst|cnt_h [0] $ (VCC) +// \vga_ctrl_inst|Add0~1 = CARRY(\vga_ctrl_inst|cnt_h [0]) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\vga_ctrl_inst|Add0~0_combout ), + .cout(\vga_ctrl_inst|Add0~1 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~0 .lut_mask = 16'h33CC; +defparam \vga_ctrl_inst|Add0~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y26_N0 +cycloneive_lcell_comb \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder ( +// Equation(s): +// \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout = VCC + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(gnd), + .combout(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .lut_mask = 16'hFFFF; +defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: IOIBUF_X41_Y4_N1 +cycloneive_io_ibuf \sys_rst_n~input ( + .i(sys_rst_n), + .ibar(gnd), + .o(\sys_rst_n~input_o )); +// synopsys translate_off +defparam \sys_rst_n~input .bus_hold = "false"; +defparam \sys_rst_n~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: FF_X40_Y26_N1 +dffeas \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ), + .d(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ), + .asdata(vcc), + .clrn(\sys_rst_n~input_o ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ), + .prn(vcc)); +// synopsys translate_off +defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .is_wysiwyg = "true"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y26_N18 +cycloneive_lcell_comb \rst_n~0 ( +// Equation(s): +// \rst_n~0_combout = ((!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ) # (!\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q )) # (!\sys_rst_n~input_o ) + + .dataa(\sys_rst_n~input_o ), + .datab(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ), + .datac(gnd), + .datad(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ), + .cin(gnd), + .combout(\rst_n~0_combout ), + .cout()); +// synopsys translate_off +defparam \rst_n~0 .lut_mask = 16'h77FF; +defparam \rst_n~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: CLKCTRL_G7 +cycloneive_clkctrl \rst_n~0clkctrl ( + .ena(vcc), + .inclk({vcc,vcc,vcc,\rst_n~0_combout }), + .clkselect(2'b00), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\rst_n~0clkctrl_outclk )); +// synopsys translate_off +defparam \rst_n~0clkctrl .clock_type = "global clock"; +defparam \rst_n~0clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: FF_X37_Y20_N9 +dffeas \vga_ctrl_inst|cnt_h[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [0]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[0] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N12 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~4 ( +// Equation(s): +// \vga_ctrl_inst|Add0~4_combout = (\vga_ctrl_inst|cnt_h [2] & (\vga_ctrl_inst|Add0~3 $ (GND))) # (!\vga_ctrl_inst|cnt_h [2] & (!\vga_ctrl_inst|Add0~3 & VCC)) +// \vga_ctrl_inst|Add0~5 = CARRY((\vga_ctrl_inst|cnt_h [2] & !\vga_ctrl_inst|Add0~3 )) + + .dataa(\vga_ctrl_inst|cnt_h [2]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~3 ), + .combout(\vga_ctrl_inst|Add0~4_combout ), + .cout(\vga_ctrl_inst|Add0~5 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~4 .lut_mask = 16'hA50A; +defparam \vga_ctrl_inst|Add0~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N14 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~6 ( +// Equation(s): +// \vga_ctrl_inst|Add0~6_combout = (\vga_ctrl_inst|cnt_h [3] & (!\vga_ctrl_inst|Add0~5 )) # (!\vga_ctrl_inst|cnt_h [3] & ((\vga_ctrl_inst|Add0~5 ) # (GND))) +// \vga_ctrl_inst|Add0~7 = CARRY((!\vga_ctrl_inst|Add0~5 ) # (!\vga_ctrl_inst|cnt_h [3])) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [3]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~5 ), + .combout(\vga_ctrl_inst|Add0~6_combout ), + .cout(\vga_ctrl_inst|Add0~7 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~6 .lut_mask = 16'h3C3F; +defparam \vga_ctrl_inst|Add0~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y20_N15 +dffeas \vga_ctrl_inst|cnt_h[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~6_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [3]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[3] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N16 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~8 ( +// Equation(s): +// \vga_ctrl_inst|Add0~8_combout = (\vga_ctrl_inst|cnt_h [4] & (\vga_ctrl_inst|Add0~7 $ (GND))) # (!\vga_ctrl_inst|cnt_h [4] & (!\vga_ctrl_inst|Add0~7 & VCC)) +// \vga_ctrl_inst|Add0~9 = CARRY((\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add0~7 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [4]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~7 ), + .combout(\vga_ctrl_inst|Add0~8_combout ), + .cout(\vga_ctrl_inst|Add0~9 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~8 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add0~8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y20_N17 +dffeas \vga_ctrl_inst|cnt_h[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~8_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [4]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[4] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N20 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~12 ( +// Equation(s): +// \vga_ctrl_inst|Add0~12_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add0~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add0~11 & VCC)) +// \vga_ctrl_inst|Add0~13 = CARRY((\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add0~11 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [6]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~11 ), + .combout(\vga_ctrl_inst|Add0~12_combout ), + .cout(\vga_ctrl_inst|Add0~13 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~12 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add0~12 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y20_N21 +dffeas \vga_ctrl_inst|cnt_h[6] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~12_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [6]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[6] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[6] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N22 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~14 ( +// Equation(s): +// \vga_ctrl_inst|Add0~14_combout = (\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add0~13 )) # (!\vga_ctrl_inst|cnt_h [7] & ((\vga_ctrl_inst|Add0~13 ) # (GND))) +// \vga_ctrl_inst|Add0~15 = CARRY((!\vga_ctrl_inst|Add0~13 ) # (!\vga_ctrl_inst|cnt_h [7])) + + .dataa(\vga_ctrl_inst|cnt_h [7]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~13 ), + .combout(\vga_ctrl_inst|Add0~14_combout ), + .cout(\vga_ctrl_inst|Add0~15 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~14 .lut_mask = 16'h5A5F; +defparam \vga_ctrl_inst|Add0~14 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y20_N23 +dffeas \vga_ctrl_inst|cnt_h[7] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~14_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [7]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[7] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[7] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X37_Y20_N13 +dffeas \vga_ctrl_inst|cnt_h[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~4_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [2]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[2] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N4 +cycloneive_lcell_comb \vga_ctrl_inst|Equal0~0 ( +// Equation(s): +// \vga_ctrl_inst|Equal0~0_combout = (\vga_ctrl_inst|cnt_h [1] & (\vga_ctrl_inst|cnt_h [3] & (\vga_ctrl_inst|cnt_h [0] & \vga_ctrl_inst|cnt_h [2]))) + + .dataa(\vga_ctrl_inst|cnt_h [1]), + .datab(\vga_ctrl_inst|cnt_h [3]), + .datac(\vga_ctrl_inst|cnt_h [0]), + .datad(\vga_ctrl_inst|cnt_h [2]), + .cin(gnd), + .combout(\vga_ctrl_inst|Equal0~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|Equal0~0 .lut_mask = 16'h8000; +defparam \vga_ctrl_inst|Equal0~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N24 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~16 ( +// Equation(s): +// \vga_ctrl_inst|Add0~16_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add0~15 $ (GND))) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add0~15 & VCC)) +// \vga_ctrl_inst|Add0~17 = CARRY((\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add0~15 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [8]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~15 ), + .combout(\vga_ctrl_inst|Add0~16_combout ), + .cout(\vga_ctrl_inst|Add0~17 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~16 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add0~16 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N26 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~18 ( +// Equation(s): +// \vga_ctrl_inst|Add0~18_combout = (\vga_ctrl_inst|cnt_h [9] & (!\vga_ctrl_inst|Add0~17 )) # (!\vga_ctrl_inst|cnt_h [9] & ((\vga_ctrl_inst|Add0~17 ) # (GND))) +// \vga_ctrl_inst|Add0~19 = CARRY((!\vga_ctrl_inst|Add0~17 ) # (!\vga_ctrl_inst|cnt_h [9])) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [9]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~17 ), + .combout(\vga_ctrl_inst|Add0~18_combout ), + .cout(\vga_ctrl_inst|Add0~19 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~18 .lut_mask = 16'h3C3F; +defparam \vga_ctrl_inst|Add0~18 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N28 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~20 ( +// Equation(s): +// \vga_ctrl_inst|Add0~20_combout = (\vga_ctrl_inst|cnt_h [10] & (\vga_ctrl_inst|Add0~19 $ (GND))) # (!\vga_ctrl_inst|cnt_h [10] & (!\vga_ctrl_inst|Add0~19 & VCC)) +// \vga_ctrl_inst|Add0~21 = CARRY((\vga_ctrl_inst|cnt_h [10] & !\vga_ctrl_inst|Add0~19 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [10]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~19 ), + .combout(\vga_ctrl_inst|Add0~20_combout ), + .cout(\vga_ctrl_inst|Add0~21 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~20 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add0~20 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y20_N29 +dffeas \vga_ctrl_inst|cnt_h[10] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~20_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [10]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[10] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[10] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N30 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~22 ( +// Equation(s): +// \vga_ctrl_inst|Add0~22_combout = \vga_ctrl_inst|cnt_h [11] $ (\vga_ctrl_inst|Add0~21 ) + + .dataa(\vga_ctrl_inst|cnt_h [11]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\vga_ctrl_inst|Add0~21 ), + .combout(\vga_ctrl_inst|Add0~22_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~22 .lut_mask = 16'h5A5A; +defparam \vga_ctrl_inst|Add0~22 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y20_N31 +dffeas \vga_ctrl_inst|cnt_h[11] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~22_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [11]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[11] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[11] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N6 +cycloneive_lcell_comb \vga_ctrl_inst|Equal0~1 ( +// Equation(s): +// \vga_ctrl_inst|Equal0~1_combout = (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|cnt_h [10] & (!\vga_ctrl_inst|cnt_h [11] & \vga_ctrl_inst|cnt_h [9]))) + + .dataa(\vga_ctrl_inst|cnt_h [7]), + .datab(\vga_ctrl_inst|cnt_h [10]), + .datac(\vga_ctrl_inst|cnt_h [11]), + .datad(\vga_ctrl_inst|cnt_h [9]), + .cin(gnd), + .combout(\vga_ctrl_inst|Equal0~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|Equal0~1 .lut_mask = 16'h0100; +defparam \vga_ctrl_inst|Equal0~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N24 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~0 ( +// Equation(s): +// \vga_ctrl_inst|cnt_h~0_combout = (\vga_ctrl_inst|Add0~10_combout & (((!\vga_ctrl_inst|Equal0~2_combout ) # (!\vga_ctrl_inst|Equal0~1_combout )) # (!\vga_ctrl_inst|Equal0~0_combout ))) + + .dataa(\vga_ctrl_inst|Add0~10_combout ), + .datab(\vga_ctrl_inst|Equal0~0_combout ), + .datac(\vga_ctrl_inst|Equal0~1_combout ), + .datad(\vga_ctrl_inst|Equal0~2_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_h~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h~0 .lut_mask = 16'h2AAA; +defparam \vga_ctrl_inst|cnt_h~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X36_Y21_N25 +dffeas \vga_ctrl_inst|cnt_h[5] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_h~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [5]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[5] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[5] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N30 +cycloneive_lcell_comb \vga_ctrl_inst|Equal0~2 ( +// Equation(s): +// \vga_ctrl_inst|Equal0~2_combout = (\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|cnt_h [5] & (\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|cnt_h [6]))) + + .dataa(\vga_ctrl_inst|cnt_h [8]), + .datab(\vga_ctrl_inst|cnt_h [5]), + .datac(\vga_ctrl_inst|cnt_h [4]), + .datad(\vga_ctrl_inst|cnt_h [6]), + .cin(gnd), + .combout(\vga_ctrl_inst|Equal0~2_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|Equal0~2 .lut_mask = 16'h0020; +defparam \vga_ctrl_inst|Equal0~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N2 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~2 ( +// Equation(s): +// \vga_ctrl_inst|cnt_h~2_combout = (\vga_ctrl_inst|Add0~16_combout & (((!\vga_ctrl_inst|Equal0~2_combout ) # (!\vga_ctrl_inst|Equal0~0_combout )) # (!\vga_ctrl_inst|Equal0~1_combout ))) + + .dataa(\vga_ctrl_inst|Equal0~1_combout ), + .datab(\vga_ctrl_inst|Add0~16_combout ), + .datac(\vga_ctrl_inst|Equal0~0_combout ), + .datad(\vga_ctrl_inst|Equal0~2_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_h~2_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h~2 .lut_mask = 16'h4CCC; +defparam \vga_ctrl_inst|cnt_h~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y20_N3 +dffeas \vga_ctrl_inst|cnt_h[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_h~2_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [8]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[8] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[8] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N0 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~1 ( +// Equation(s): +// \vga_ctrl_inst|cnt_h~1_combout = (\vga_ctrl_inst|Add0~18_combout & (((!\vga_ctrl_inst|Equal0~2_combout ) # (!\vga_ctrl_inst|Equal0~0_combout )) # (!\vga_ctrl_inst|Equal0~1_combout ))) + + .dataa(\vga_ctrl_inst|Equal0~1_combout ), + .datab(\vga_ctrl_inst|Equal0~0_combout ), + .datac(\vga_ctrl_inst|Add0~18_combout ), + .datad(\vga_ctrl_inst|Equal0~2_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_h~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h~1 .lut_mask = 16'h70F0; +defparam \vga_ctrl_inst|cnt_h~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y20_N1 +dffeas \vga_ctrl_inst|cnt_h[9] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_h~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [9]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[9] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[9] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N22 +cycloneive_lcell_comb \vga_ctrl_inst|LessThan4~0 ( +// Equation(s): +// \vga_ctrl_inst|LessThan4~0_combout = (!\vga_ctrl_inst|cnt_h [5] & (!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|cnt_h [6])) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [5]), + .datac(\vga_ctrl_inst|cnt_h [4]), + .datad(\vga_ctrl_inst|cnt_h [6]), + .cin(gnd), + .combout(\vga_ctrl_inst|LessThan4~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|LessThan4~0 .lut_mask = 16'h0003; +defparam \vga_ctrl_inst|LessThan4~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y20_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add4~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add4~0_combout = (\vga_ctrl_inst|cnt_h [8] & (((!\vga_ctrl_inst|cnt_h [7] & \vga_ctrl_inst|LessThan4~0_combout )) # (!\vga_ctrl_inst|cnt_h [9]))) # (!\vga_ctrl_inst|cnt_h [8] & ((\vga_ctrl_inst|cnt_h [9]) # +// ((\vga_ctrl_inst|cnt_h [7] & !\vga_ctrl_inst|LessThan4~0_combout )))) + + .dataa(\vga_ctrl_inst|cnt_h [8]), + .datab(\vga_ctrl_inst|cnt_h [7]), + .datac(\vga_ctrl_inst|cnt_h [9]), + .datad(\vga_ctrl_inst|LessThan4~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add4~0 .lut_mask = 16'h7A5E; +defparam \hdmi_ctrl_inst|encode_inst0|Add4~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N0 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~1 ( +// Equation(s): +// \vga_ctrl_inst|Add2~1_cout = CARRY((\vga_ctrl_inst|cnt_h [1] & \vga_ctrl_inst|cnt_h [0])) + + .dataa(\vga_ctrl_inst|cnt_h [1]), + .datab(\vga_ctrl_inst|cnt_h [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(), + .cout(\vga_ctrl_inst|Add2~1_cout )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~1 .lut_mask = 16'h0088; +defparam \vga_ctrl_inst|Add2~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N2 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~3 ( +// Equation(s): +// \vga_ctrl_inst|Add2~3_cout = CARRY((!\vga_ctrl_inst|Add2~1_cout ) # (!\vga_ctrl_inst|cnt_h [2])) + + .dataa(\vga_ctrl_inst|cnt_h [2]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~1_cout ), + .combout(), + .cout(\vga_ctrl_inst|Add2~3_cout )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~3 .lut_mask = 16'h005F; +defparam \vga_ctrl_inst|Add2~3 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N4 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~5 ( +// Equation(s): +// \vga_ctrl_inst|Add2~5_cout = CARRY((\vga_ctrl_inst|cnt_h [3] & !\vga_ctrl_inst|Add2~3_cout )) + + .dataa(\vga_ctrl_inst|cnt_h [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~3_cout ), + .combout(), + .cout(\vga_ctrl_inst|Add2~5_cout )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~5 .lut_mask = 16'h000A; +defparam \vga_ctrl_inst|Add2~5 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N6 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~7 ( +// Equation(s): +// \vga_ctrl_inst|Add2~7_cout = CARRY((!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add2~5_cout )) + + .dataa(\vga_ctrl_inst|cnt_h [4]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~5_cout ), + .combout(), + .cout(\vga_ctrl_inst|Add2~7_cout )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~7 .lut_mask = 16'h0005; +defparam \vga_ctrl_inst|Add2~7 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N8 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~9 ( +// Equation(s): +// \vga_ctrl_inst|Add2~9_cout = CARRY((\vga_ctrl_inst|cnt_h [5]) # (!\vga_ctrl_inst|Add2~7_cout )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [5]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~7_cout ), + .combout(), + .cout(\vga_ctrl_inst|Add2~9_cout )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~9 .lut_mask = 16'h00CF; +defparam \vga_ctrl_inst|Add2~9 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N10 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~10 ( +// Equation(s): +// \vga_ctrl_inst|Add2~10_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add2~9_cout & VCC)) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add2~9_cout )) +// \vga_ctrl_inst|Add2~11 = CARRY((!\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add2~9_cout )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [6]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~9_cout ), + .combout(\vga_ctrl_inst|Add2~10_combout ), + .cout(\vga_ctrl_inst|Add2~11 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~10 .lut_mask = 16'hC303; +defparam \vga_ctrl_inst|Add2~10 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N12 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~12 ( +// Equation(s): +// \vga_ctrl_inst|Add2~12_combout = (\vga_ctrl_inst|cnt_h [7] & (\vga_ctrl_inst|Add2~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add2~11 & VCC)) +// \vga_ctrl_inst|Add2~13 = CARRY((\vga_ctrl_inst|cnt_h [7] & !\vga_ctrl_inst|Add2~11 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [7]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~11 ), + .combout(\vga_ctrl_inst|Add2~12_combout ), + .cout(\vga_ctrl_inst|Add2~13 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~12 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add2~12 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N14 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~14 ( +// Equation(s): +// \vga_ctrl_inst|Add2~14_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add2~13 & VCC)) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add2~13 )) +// \vga_ctrl_inst|Add2~15 = CARRY((!\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add2~13 )) + + .dataa(\vga_ctrl_inst|cnt_h [8]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~13 ), + .combout(\vga_ctrl_inst|Add2~14_combout ), + .cout(\vga_ctrl_inst|Add2~15 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~14 .lut_mask = 16'hA505; +defparam \vga_ctrl_inst|Add2~14 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N16 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~16 ( +// Equation(s): +// \vga_ctrl_inst|Add2~16_combout = (\vga_ctrl_inst|cnt_h [9] & ((GND) # (!\vga_ctrl_inst|Add2~15 ))) # (!\vga_ctrl_inst|cnt_h [9] & (\vga_ctrl_inst|Add2~15 $ (GND))) +// \vga_ctrl_inst|Add2~17 = CARRY((\vga_ctrl_inst|cnt_h [9]) # (!\vga_ctrl_inst|Add2~15 )) + + .dataa(\vga_ctrl_inst|cnt_h [9]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~15 ), + .combout(\vga_ctrl_inst|Add2~16_combout ), + .cout(\vga_ctrl_inst|Add2~17 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~16 .lut_mask = 16'h5AAF; +defparam \vga_ctrl_inst|Add2~16 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N18 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~18 ( +// Equation(s): +// \vga_ctrl_inst|Add2~18_combout = (\vga_ctrl_inst|cnt_h [10] & (\vga_ctrl_inst|Add2~17 & VCC)) # (!\vga_ctrl_inst|cnt_h [10] & (!\vga_ctrl_inst|Add2~17 )) +// \vga_ctrl_inst|Add2~19 = CARRY((!\vga_ctrl_inst|cnt_h [10] & !\vga_ctrl_inst|Add2~17 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [10]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~17 ), + .combout(\vga_ctrl_inst|Add2~18_combout ), + .cout(\vga_ctrl_inst|Add2~19 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~18 .lut_mask = 16'hC303; +defparam \vga_ctrl_inst|Add2~18 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N0 +cycloneive_lcell_comb \vga_pic_inst|pix_data~12 ( +// Equation(s): +// \vga_pic_inst|pix_data~12_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~18_combout & (\vga_ctrl_inst|Add2~16_combout & !\vga_ctrl_inst|Add2~14_combout ))) + + .dataa(\vga_ctrl_inst|pix_data_req~7_combout ), + .datab(\vga_ctrl_inst|Add2~18_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_ctrl_inst|Add2~14_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~12_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~12 .lut_mask = 16'h0020; +defparam \vga_pic_inst|pix_data~12 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y20_N16 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~5 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~5_combout = \vga_ctrl_inst|cnt_h [8] $ (\vga_ctrl_inst|cnt_h [9]) + + .dataa(\vga_ctrl_inst|cnt_h [8]), + .datab(gnd), + .datac(\vga_ctrl_inst|cnt_h [9]), + .datad(gnd), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~5_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~5 .lut_mask = 16'h5A5A; +defparam \vga_ctrl_inst|pix_data_req~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N2 +cycloneive_lcell_comb \vga_ctrl_inst|Equal0~3 ( +// Equation(s): +// \vga_ctrl_inst|Equal0~3_combout = (\vga_ctrl_inst|Equal0~1_combout & (\vga_ctrl_inst|Equal0~0_combout & \vga_ctrl_inst|Equal0~2_combout )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|Equal0~1_combout ), + .datac(\vga_ctrl_inst|Equal0~0_combout ), + .datad(\vga_ctrl_inst|Equal0~2_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|Equal0~3_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|Equal0~3 .lut_mask = 16'hC000; +defparam \vga_ctrl_inst|Equal0~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N20 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[7]~7 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[7]~7_combout = (\vga_ctrl_inst|Add1~14_combout & (((\vga_ctrl_inst|cnt_v [7] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~14_combout & (((\vga_ctrl_inst|cnt_v [7] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|Add1~14_combout ), + .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [7]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[7]~7_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[7]~7 .lut_mask = 16'h22F2; +defparam \vga_ctrl_inst|cnt_v[7]~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N21 +dffeas \vga_ctrl_inst|cnt_v[7] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[7]~7_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [7]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[7] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[7] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N26 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[5]~10 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[5]~10_combout = (\vga_ctrl_inst|Add1~10_combout & (((!\vga_ctrl_inst|Equal0~3_combout & \vga_ctrl_inst|cnt_v [5])) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~10_combout & (!\vga_ctrl_inst|Equal0~3_combout +// & (\vga_ctrl_inst|cnt_v [5]))) + + .dataa(\vga_ctrl_inst|Add1~10_combout ), + .datab(\vga_ctrl_inst|Equal0~3_combout ), + .datac(\vga_ctrl_inst|cnt_v [5]), + .datad(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[5]~10_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[5]~10 .lut_mask = 16'h30BA; +defparam \vga_ctrl_inst|cnt_v[5]~10 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X32_Y20_N27 +dffeas \vga_ctrl_inst|cnt_v[5] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[5]~10_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [5]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[5] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[5] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N18 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[8]~6 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[8]~6_combout = (\vga_ctrl_inst|Add1~16_combout & (((\vga_ctrl_inst|cnt_v [8] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~16_combout & (((\vga_ctrl_inst|cnt_v [8] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|Add1~16_combout ), + .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [8]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[8]~6_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[8]~6 .lut_mask = 16'h22F2; +defparam \vga_ctrl_inst|cnt_v[8]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N19 +dffeas \vga_ctrl_inst|cnt_v[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[8]~6_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [8]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[8] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[8] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N28 +cycloneive_lcell_comb \vga_ctrl_inst|always1~0 ( +// Equation(s): +// \vga_ctrl_inst|always1~0_combout = (!\vga_ctrl_inst|cnt_v [6] & (!\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|cnt_v [5] & !\vga_ctrl_inst|cnt_v [8]))) + + .dataa(\vga_ctrl_inst|cnt_v [6]), + .datab(\vga_ctrl_inst|cnt_v [7]), + .datac(\vga_ctrl_inst|cnt_v [5]), + .datad(\vga_ctrl_inst|cnt_v [8]), + .cin(gnd), + .combout(\vga_ctrl_inst|always1~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|always1~0 .lut_mask = 16'h0001; +defparam \vga_ctrl_inst|always1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N8 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[1]~1 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[1]~1_combout = (\vga_ctrl_inst|Add1~2_combout & (((\vga_ctrl_inst|cnt_v [1] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~2_combout & (((\vga_ctrl_inst|cnt_v [1] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|Add1~2_combout ), + .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [1]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[1]~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[1]~1 .lut_mask = 16'h22F2; +defparam \vga_ctrl_inst|cnt_v[1]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N9 +dffeas \vga_ctrl_inst|cnt_v[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[1]~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [1]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[1] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N0 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[4]~5 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[4]~5_combout = (\vga_ctrl_inst|Add1~8_combout & (((\vga_ctrl_inst|cnt_v [4] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~8_combout & (((\vga_ctrl_inst|cnt_v [4] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|Add1~8_combout ), + .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [4]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[4]~5_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[4]~5 .lut_mask = 16'h22F2; +defparam \vga_ctrl_inst|cnt_v[4]~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N1 +dffeas \vga_ctrl_inst|cnt_v[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[4]~5_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [4]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[4] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N24 +cycloneive_lcell_comb \vga_ctrl_inst|always1~1 ( +// Equation(s): +// \vga_ctrl_inst|always1~1_combout = (\vga_ctrl_inst|pix_data_req~8_combout & (\vga_ctrl_inst|always1~0_combout & (!\vga_ctrl_inst|cnt_v [1] & !\vga_ctrl_inst|cnt_v [4]))) + + .dataa(\vga_ctrl_inst|pix_data_req~8_combout ), + .datab(\vga_ctrl_inst|always1~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [1]), + .datad(\vga_ctrl_inst|cnt_v [4]), + .cin(gnd), + .combout(\vga_ctrl_inst|always1~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|always1~1 .lut_mask = 16'h0008; +defparam \vga_ctrl_inst|always1~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N2 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~0 ( +// Equation(s): +// \vga_ctrl_inst|Add1~0_combout = \vga_ctrl_inst|cnt_v [0] $ (VCC) +// \vga_ctrl_inst|Add1~1 = CARRY(\vga_ctrl_inst|cnt_v [0]) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_v [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\vga_ctrl_inst|Add1~0_combout ), + .cout(\vga_ctrl_inst|Add1~1 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~0 .lut_mask = 16'h33CC; +defparam \vga_ctrl_inst|Add1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N0 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[0]~2 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[0]~2_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [0] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~0_combout ) # ((\vga_ctrl_inst|cnt_v [0] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datab(\vga_ctrl_inst|Add1~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [0]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[0]~2_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[0]~2 .lut_mask = 16'h44F4; +defparam \vga_ctrl_inst|cnt_v[0]~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X32_Y20_N1 +dffeas \vga_ctrl_inst|cnt_v[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[0]~2_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [0]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[0] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N2 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[3]~3 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[3]~3_combout = (\vga_ctrl_inst|Add1~6_combout & (((\vga_ctrl_inst|cnt_v [3] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~6_combout & (((\vga_ctrl_inst|cnt_v [3] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|Add1~6_combout ), + .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [3]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[3]~3_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[3]~3 .lut_mask = 16'h22F2; +defparam \vga_ctrl_inst|cnt_v[3]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N3 +dffeas \vga_ctrl_inst|cnt_v[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[3]~3_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [3]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[3] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N26 +cycloneive_lcell_comb \vga_ctrl_inst|always1~2 ( +// Equation(s): +// \vga_ctrl_inst|always1~2_combout = (\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [0] & \vga_ctrl_inst|cnt_v [3]))) + + .dataa(\vga_ctrl_inst|cnt_v [2]), + .datab(\vga_ctrl_inst|cnt_v [9]), + .datac(\vga_ctrl_inst|cnt_v [0]), + .datad(\vga_ctrl_inst|cnt_v [3]), + .cin(gnd), + .combout(\vga_ctrl_inst|always1~2_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|always1~2 .lut_mask = 16'h0800; +defparam \vga_ctrl_inst|always1~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N4 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[11]~0 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[11]~0_combout = ((\vga_ctrl_inst|always1~1_combout & \vga_ctrl_inst|always1~2_combout )) # (!\vga_ctrl_inst|Equal0~3_combout ) + + .dataa(gnd), + .datab(\vga_ctrl_inst|always1~1_combout ), + .datac(\vga_ctrl_inst|always1~2_combout ), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[11]~0 .lut_mask = 16'hC0FF; +defparam \vga_ctrl_inst|cnt_v[11]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N16 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[9]~9 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[9]~9_combout = (\vga_ctrl_inst|Add1~18_combout & (((\vga_ctrl_inst|cnt_v [9] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~18_combout & (((\vga_ctrl_inst|cnt_v [9] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|Add1~18_combout ), + .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [9]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[9]~9_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[9]~9 .lut_mask = 16'h22F2; +defparam \vga_ctrl_inst|cnt_v[9]~9 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N17 +dffeas \vga_ctrl_inst|cnt_v[9] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[9]~9_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [9]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[9] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[9] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N22 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[6]~8 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[6]~8_combout = (\vga_ctrl_inst|Add1~12_combout & (((\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~12_combout & (((\vga_ctrl_inst|cnt_v [6] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|Add1~12_combout ), + .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [6]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[6]~8_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[6]~8 .lut_mask = 16'h22F2; +defparam \vga_ctrl_inst|cnt_v[6]~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N23 +dffeas \vga_ctrl_inst|cnt_v[6] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[6]~8_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [6]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[6] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[6] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N6 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~4 ( +// Equation(s): +// \vga_ctrl_inst|Add1~4_combout = (\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|Add1~3 $ (GND))) # (!\vga_ctrl_inst|cnt_v [2] & (!\vga_ctrl_inst|Add1~3 & VCC)) +// \vga_ctrl_inst|Add1~5 = CARRY((\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|Add1~3 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_v [2]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~3 ), + .combout(\vga_ctrl_inst|Add1~4_combout ), + .cout(\vga_ctrl_inst|Add1~5 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~4 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add1~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N12 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[2]~4 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[2]~4_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~4_combout ) # ((\vga_ctrl_inst|cnt_v [2] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datab(\vga_ctrl_inst|Add1~4_combout ), + .datac(\vga_ctrl_inst|cnt_v [2]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[2]~4_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[2]~4 .lut_mask = 16'h44F4; +defparam \vga_ctrl_inst|cnt_v[2]~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N13 +dffeas \vga_ctrl_inst|cnt_v[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[2]~4_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [2]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[2] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N24 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~22 ( +// Equation(s): +// \vga_ctrl_inst|Add1~22_combout = \vga_ctrl_inst|Add1~21 $ (\vga_ctrl_inst|cnt_v [11]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\vga_ctrl_inst|cnt_v [11]), + .cin(\vga_ctrl_inst|Add1~21 ), + .combout(\vga_ctrl_inst|Add1~22_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~22 .lut_mask = 16'h0FF0; +defparam \vga_ctrl_inst|Add1~22 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N28 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[11]~11 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[11]~11_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [11] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~22_combout ) # ((\vga_ctrl_inst|cnt_v [11] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datab(\vga_ctrl_inst|Add1~22_combout ), + .datac(\vga_ctrl_inst|cnt_v [11]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[11]~11_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[11]~11 .lut_mask = 16'h44F4; +defparam \vga_ctrl_inst|cnt_v[11]~11 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X32_Y20_N29 +dffeas \vga_ctrl_inst|cnt_v[11] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[11]~11_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [11]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[11] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[11] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y20_N10 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~2 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~2_combout = (!\vga_ctrl_inst|cnt_v [10] & (!\vga_ctrl_inst|cnt_v [11] & (!\vga_ctrl_inst|cnt_h [11] & !\vga_ctrl_inst|cnt_h [10]))) + + .dataa(\vga_ctrl_inst|cnt_v [10]), + .datab(\vga_ctrl_inst|cnt_v [11]), + .datac(\vga_ctrl_inst|cnt_h [11]), + .datad(\vga_ctrl_inst|cnt_h [10]), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~2_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~2 .lut_mask = 16'h0001; +defparam \vga_ctrl_inst|pix_data_req~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y20_N30 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~4 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~4_combout = (\vga_ctrl_inst|pix_data_req~2_combout & ((\vga_ctrl_inst|always1~0_combout ) # (!\vga_ctrl_inst|cnt_v [9]))) + + .dataa(\vga_ctrl_inst|always1~0_combout ), + .datab(gnd), + .datac(\vga_ctrl_inst|cnt_v [9]), + .datad(\vga_ctrl_inst|pix_data_req~2_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~4_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~4 .lut_mask = 16'hAF00; +defparam \vga_ctrl_inst|pix_data_req~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y20_N2 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~6 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~6_combout = (\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|cnt_h [9] & ((\vga_ctrl_inst|Equal0~0_combout ) # (!\vga_ctrl_inst|LessThan4~0_combout )))) # (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Equal0~0_combout & +// (\vga_ctrl_inst|cnt_h [9] & \vga_ctrl_inst|LessThan4~0_combout ))) + + .dataa(\vga_ctrl_inst|Equal0~0_combout ), + .datab(\vga_ctrl_inst|cnt_h [7]), + .datac(\vga_ctrl_inst|cnt_h [9]), + .datad(\vga_ctrl_inst|LessThan4~0_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~6_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~6 .lut_mask = 16'h180C; +defparam \vga_ctrl_inst|pix_data_req~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y20_N28 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~7 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~7_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~4_combout & ((\vga_ctrl_inst|pix_data_req~5_combout ) # (\vga_ctrl_inst|pix_data_req~6_combout )))) + + .dataa(\vga_ctrl_inst|pix_data_req~1_combout ), + .datab(\vga_ctrl_inst|pix_data_req~5_combout ), + .datac(\vga_ctrl_inst|pix_data_req~4_combout ), + .datad(\vga_ctrl_inst|pix_data_req~6_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~7_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~7 .lut_mask = 16'hA080; +defparam \vga_ctrl_inst|pix_data_req~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N12 +cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~11 ( +// Equation(s): +// \vga_pic_inst|pix_data[13]~11_combout = ((\vga_ctrl_inst|Add2~16_combout ) # ((\vga_ctrl_inst|Add2~14_combout & \vga_ctrl_inst|Add2~12_combout ))) # (!\vga_ctrl_inst|pix_data_req~7_combout ) + + .dataa(\vga_ctrl_inst|Add2~14_combout ), + .datab(\vga_ctrl_inst|pix_data_req~7_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_ctrl_inst|Add2~12_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data[13]~11_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[13]~11 .lut_mask = 16'hFBF3; +defparam \vga_pic_inst|pix_data[13]~11 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N6 +cycloneive_lcell_comb \vga_pic_inst|always0~0 ( +// Equation(s): +// \vga_pic_inst|always0~0_combout = (\vga_ctrl_inst|Add2~16_combout ) # ((\vga_ctrl_inst|Add2~18_combout ) # ((!\vga_pic_inst|pix_data[13]~11_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout ))) + + .dataa(\vga_ctrl_inst|Add2~16_combout ), + .datab(\vga_ctrl_inst|Add2~18_combout ), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_pic_inst|pix_data[13]~11_combout ), + .cin(gnd), + .combout(\vga_pic_inst|always0~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|always0~0 .lut_mask = 16'hEFFF; +defparam \vga_pic_inst|always0~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N2 +cycloneive_lcell_comb \vga_pic_inst|pix_data~13 ( +// Equation(s): +// \vga_pic_inst|pix_data~13_combout = (\vga_pic_inst|LessThan14~0_combout & (((\vga_ctrl_inst|Add2~12_combout )) # (!\vga_pic_inst|pix_data~12_combout ))) # (!\vga_pic_inst|LessThan14~0_combout & (\vga_pic_inst|always0~0_combout & +// ((\vga_ctrl_inst|Add2~12_combout ) # (!\vga_pic_inst|pix_data~12_combout )))) + + .dataa(\vga_pic_inst|LessThan14~0_combout ), + .datab(\vga_pic_inst|pix_data~12_combout ), + .datac(\vga_ctrl_inst|Add2~12_combout ), + .datad(\vga_pic_inst|always0~0_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~13_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~13 .lut_mask = 16'hF3A2; +defparam \vga_pic_inst|pix_data~13 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N28 +cycloneive_lcell_comb \vga_pic_inst|pix_data~17 ( +// Equation(s): +// \vga_pic_inst|pix_data~17_combout = (!\vga_ctrl_inst|Add2~14_combout & (!\vga_ctrl_inst|Add2~16_combout & \vga_ctrl_inst|Add2~12_combout )) + + .dataa(\vga_ctrl_inst|Add2~14_combout ), + .datab(gnd), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_ctrl_inst|Add2~12_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~17_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~17 .lut_mask = 16'h0500; +defparam \vga_pic_inst|pix_data~17 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N10 +cycloneive_lcell_comb \vga_pic_inst|pix_data~34 ( +// Equation(s): +// \vga_pic_inst|pix_data~34_combout = ((!\vga_ctrl_inst|Add2~18_combout & (\vga_ctrl_inst|pix_data_req~7_combout & \vga_pic_inst|pix_data~17_combout ))) # (!\vga_pic_inst|pix_data~16_combout ) + + .dataa(\vga_pic_inst|pix_data~16_combout ), + .datab(\vga_ctrl_inst|Add2~18_combout ), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_pic_inst|pix_data~17_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~34_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~34 .lut_mask = 16'h7555; +defparam \vga_pic_inst|pix_data~34 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N16 +cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~8 ( +// Equation(s): +// \vga_pic_inst|pix_data[13]~8_combout = (!\vga_ctrl_inst|Add2~12_combout ) # (!\vga_ctrl_inst|Add2~14_combout ) + + .dataa(\vga_ctrl_inst|Add2~14_combout ), + .datab(gnd), + .datac(gnd), + .datad(\vga_ctrl_inst|Add2~12_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data[13]~8_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[13]~8 .lut_mask = 16'h55FF; +defparam \vga_pic_inst|pix_data[13]~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N18 +cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~9 ( +// Equation(s): +// \vga_pic_inst|pix_data[13]~9_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~18_combout & (!\vga_ctrl_inst|Add2~16_combout & \vga_pic_inst|pix_data[13]~8_combout ))) + + .dataa(\vga_ctrl_inst|pix_data_req~7_combout ), + .datab(\vga_ctrl_inst|Add2~18_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_pic_inst|pix_data[13]~8_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data[13]~9_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[13]~9 .lut_mask = 16'h0200; +defparam \vga_pic_inst|pix_data[13]~9 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N24 +cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~10 ( +// Equation(s): +// \vga_pic_inst|pix_data[13]~10_combout = (!\vga_ctrl_inst|Add2~20_combout & (\vga_ctrl_inst|pix_data_req~7_combout & \vga_pic_inst|pix_data[13]~9_combout )) + + .dataa(\vga_ctrl_inst|Add2~20_combout ), + .datab(gnd), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_pic_inst|pix_data[13]~9_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data[13]~10_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[13]~10 .lut_mask = 16'h5000; +defparam \vga_pic_inst|pix_data[13]~10 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N8 +cycloneive_lcell_comb \vga_pic_inst|pix_data~18 ( +// Equation(s): +// \vga_pic_inst|pix_data~18_combout = ((!\vga_ctrl_inst|pix_x[11]~0_combout & (!\vga_pic_inst|pix_data~13_combout & !\vga_pic_inst|pix_data[13]~10_combout ))) # (!\vga_pic_inst|pix_data~34_combout ) + + .dataa(\vga_ctrl_inst|pix_x[11]~0_combout ), + .datab(\vga_pic_inst|pix_data~13_combout ), + .datac(\vga_pic_inst|pix_data~34_combout ), + .datad(\vga_pic_inst|pix_data[13]~10_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~18_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~18 .lut_mask = 16'h0F1F; +defparam \vga_pic_inst|pix_data~18 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N9 +dffeas \vga_pic_inst|pix_data[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_pic_inst|pix_data~18_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_pic_inst|pix_data [4]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[4] .is_wysiwyg = "true"; +defparam \vga_pic_inst|pix_data[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N20 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~20 ( +// Equation(s): +// \vga_ctrl_inst|Add2~20_combout = \vga_ctrl_inst|Add2~19 $ (\vga_ctrl_inst|cnt_h [11]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\vga_ctrl_inst|cnt_h [11]), + .cin(\vga_ctrl_inst|Add2~19 ), + .combout(\vga_ctrl_inst|Add2~20_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~20 .lut_mask = 16'h0FF0; +defparam \vga_ctrl_inst|Add2~20 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N28 +cycloneive_lcell_comb \vga_pic_inst|LessThan17~4 ( +// Equation(s): +// \vga_pic_inst|LessThan17~4_combout = (!\vga_ctrl_inst|Add2~14_combout & (!\vga_ctrl_inst|Add2~10_combout & (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~12_combout ))) + + .dataa(\vga_ctrl_inst|Add2~14_combout ), + .datab(\vga_ctrl_inst|Add2~10_combout ), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_ctrl_inst|Add2~12_combout ), + .cin(gnd), + .combout(\vga_pic_inst|LessThan17~4_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|LessThan17~4 .lut_mask = 16'h0010; +defparam \vga_pic_inst|LessThan17~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N20 +cycloneive_lcell_comb \vga_pic_inst|LessThan17~3 ( +// Equation(s): +// \vga_pic_inst|LessThan17~3_combout = (!\vga_ctrl_inst|Add2~18_combout & (!\vga_ctrl_inst|Add2~20_combout & (\vga_ctrl_inst|Add2~16_combout & \vga_pic_inst|LessThan17~4_combout ))) + + .dataa(\vga_ctrl_inst|Add2~18_combout ), + .datab(\vga_ctrl_inst|Add2~20_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_pic_inst|LessThan17~4_combout ), + .cin(gnd), + .combout(\vga_pic_inst|LessThan17~3_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|LessThan17~3 .lut_mask = 16'h1000; +defparam \vga_pic_inst|LessThan17~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N14 +cycloneive_lcell_comb \vga_pic_inst|LessThan14~0 ( +// Equation(s): +// \vga_pic_inst|LessThan14~0_combout = (\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|Add2~10_combout & \vga_ctrl_inst|Add2~12_combout )) + + .dataa(\vga_ctrl_inst|Add2~14_combout ), + .datab(gnd), + .datac(\vga_ctrl_inst|Add2~10_combout ), + .datad(\vga_ctrl_inst|Add2~12_combout ), + .cin(gnd), + .combout(\vga_pic_inst|LessThan14~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|LessThan14~0 .lut_mask = 16'hA000; +defparam \vga_pic_inst|LessThan14~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N12 +cycloneive_lcell_comb \vga_pic_inst|pix_data~19 ( +// Equation(s): +// \vga_pic_inst|pix_data~19_combout = (\vga_pic_inst|LessThan17~3_combout ) # ((!\vga_ctrl_inst|pix_x[11]~0_combout & (!\vga_pic_inst|LessThan14~0_combout & !\vga_pic_inst|always0~0_combout ))) + + .dataa(\vga_ctrl_inst|pix_x[11]~0_combout ), + .datab(\vga_pic_inst|LessThan17~3_combout ), + .datac(\vga_pic_inst|LessThan14~0_combout ), + .datad(\vga_pic_inst|always0~0_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~19_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~19 .lut_mask = 16'hCCCD; +defparam \vga_pic_inst|pix_data~19 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N18 +cycloneive_lcell_comb \vga_pic_inst|pix_data~20 ( +// Equation(s): +// \vga_pic_inst|pix_data~20_combout = ((!\vga_pic_inst|pix_data[13]~10_combout & \vga_pic_inst|pix_data~19_combout )) # (!\vga_pic_inst|pix_data~34_combout ) + + .dataa(gnd), + .datab(\vga_pic_inst|pix_data[13]~10_combout ), + .datac(\vga_pic_inst|pix_data~34_combout ), + .datad(\vga_pic_inst|pix_data~19_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~20_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~20 .lut_mask = 16'h3F0F; +defparam \vga_pic_inst|pix_data~20 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N19 +dffeas \vga_pic_inst|pix_data[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_pic_inst|pix_data~20_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_pic_inst|pix_data [0]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[0] .is_wysiwyg = "true"; +defparam \vga_pic_inst|pix_data[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add6~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add6~0_combout = (\vga_ctrl_inst|pix_data_req~3_combout & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_pic_inst|pix_data [4] & \vga_pic_inst|pix_data [0]))) + + .dataa(\vga_ctrl_inst|pix_data_req~3_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .datac(\vga_pic_inst|pix_data [4]), + .datad(\vga_pic_inst|pix_data [0]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add6~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add6~0 .lut_mask = 16'h8000; +defparam \hdmi_ctrl_inst|encode_inst0|Add6~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N11 +dffeas \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|Add6~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2] + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 .lut_mask = 16'h00FF; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N21 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X35_Y22_N27 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N30 +cycloneive_lcell_comb \vga_ctrl_inst|LessThan6~0 ( +// Equation(s): +// \vga_ctrl_inst|LessThan6~0_combout = (!\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|cnt_v [2] & ((!\vga_ctrl_inst|cnt_v [1]) # (!\vga_ctrl_inst|cnt_v [0])))) + + .dataa(\vga_ctrl_inst|cnt_v [0]), + .datab(\vga_ctrl_inst|cnt_v [3]), + .datac(\vga_ctrl_inst|cnt_v [1]), + .datad(\vga_ctrl_inst|cnt_v [2]), + .cin(gnd), + .combout(\vga_ctrl_inst|LessThan6~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|LessThan6~0 .lut_mask = 16'h0013; +defparam \vga_ctrl_inst|LessThan6~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N6 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~1 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~1_combout = (\vga_ctrl_inst|LessThan6~0_combout & ((\vga_ctrl_inst|cnt_v [4] & ((!\vga_ctrl_inst|always1~0_combout ))) # (!\vga_ctrl_inst|cnt_v [4] & (!\vga_ctrl_inst|pix_data_req~0_combout )))) # +// (!\vga_ctrl_inst|LessThan6~0_combout & (((!\vga_ctrl_inst|always1~0_combout )))) + + .dataa(\vga_ctrl_inst|pix_data_req~0_combout ), + .datab(\vga_ctrl_inst|always1~0_combout ), + .datac(\vga_ctrl_inst|LessThan6~0_combout ), + .datad(\vga_ctrl_inst|cnt_v [4]), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~1 .lut_mask = 16'h3353; +defparam \vga_ctrl_inst|pix_data_req~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N28 +cycloneive_lcell_comb \vga_ctrl_inst|rgb[1]~0 ( +// Equation(s): +// \vga_ctrl_inst|rgb[1]~0_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [4] & \hdmi_ctrl_inst|encode_inst0|Add4~0_combout ))) + + .dataa(\vga_ctrl_inst|pix_data_req~4_combout ), + .datab(\vga_ctrl_inst|pix_data_req~1_combout ), + .datac(\vga_pic_inst|pix_data [4]), + .datad(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|rgb[1]~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|rgb[1]~0 .lut_mask = 16'h8000; +defparam \vga_ctrl_inst|rgb[1]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N29 +dffeas \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|rgb[1]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N30 +cycloneive_lcell_comb \vga_ctrl_inst|rgb[2]~1 ( +// Equation(s): +// \vga_ctrl_inst|rgb[2]~1_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~1_combout & \vga_pic_inst|pix_data [0]))) + + .dataa(\vga_ctrl_inst|pix_data_req~4_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .datac(\vga_ctrl_inst|pix_data_req~1_combout ), + .datad(\vga_pic_inst|pix_data [0]), + .cin(gnd), + .combout(\vga_ctrl_inst|rgb[2]~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|rgb[2]~1 .lut_mask = 16'h8000; +defparam \vga_ctrl_inst|rgb[2]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N31 +dffeas \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|rgb[2]~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add14~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]) # ((\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]) # (\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2])) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]), + .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]), + .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add14~0 .lut_mask = 16'hFFFC; +defparam \hdmi_ctrl_inst|encode_inst0|Add14~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout = !\hdmi_ctrl_inst|encode_inst0|Add14~0_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 .lut_mask = 16'h00FF; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N9 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & VCC)) +// \hdmi_ctrl_inst|encode_inst0|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [1] & \hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [1]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add19~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add19~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add19~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst0|Add19~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [2] & (!\hdmi_ctrl_inst|encode_inst0|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|Add19~1 ) # (GND))) +// \hdmi_ctrl_inst|encode_inst0|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst0|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2])) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add19~1 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add19~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add19~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add19~2 .lut_mask = 16'h5A5F; +defparam \hdmi_ctrl_inst|encode_inst0|Add19~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add19~6_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (\hdmi_ctrl_inst|encode_inst0|Add19~5 ) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst0|Add19~5 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add19~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add19~6 .lut_mask = 16'h3C3C; +defparam \hdmi_ctrl_inst|encode_inst0|Add19~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [1] & ((\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] $ (VCC))) +// \hdmi_ctrl_inst|encode_inst0|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [1]) # (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [1]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add22~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add22~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add22~0 .lut_mask = 16'h99EE; +defparam \hdmi_ctrl_inst|encode_inst0|Add22~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & (!\hdmi_ctrl_inst|encode_inst0|Add22~1 )) +// \hdmi_ctrl_inst|encode_inst0|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst0|cnt [2] & !\hdmi_ctrl_inst|encode_inst0|Add22~1 )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add22~1 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add22~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add22~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add22~2 .lut_mask = 16'hA505; +defparam \hdmi_ctrl_inst|encode_inst0|Add22~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add22~6_combout = \hdmi_ctrl_inst|encode_inst0|Add22~5 $ (!\hdmi_ctrl_inst|encode_inst0|cnt [4]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|cnt [4]), + .cin(\hdmi_ctrl_inst|encode_inst0|Add22~5 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add22~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add22~6 .lut_mask = 16'hF00F; +defparam \hdmi_ctrl_inst|encode_inst0|Add22~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst0|Add19~6_combout )) # +// (!\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst0|Add22~6_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|Add19~6_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|Add22~6_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~2 .lut_mask = 16'hFBEA; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 .lut_mask = 16'h33AA; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N17 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~9 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & +// ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add22~2_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add22~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~9_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~9 .lut_mask = 16'hAF44; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~9 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~10 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~9_combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2])) # (!\hdmi_ctrl_inst|encode_inst0|Add16~9_combout & +// ((\hdmi_ctrl_inst|encode_inst0|Add19~2_combout ))))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|Add16~9_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|Add19~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|Add16~9_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~10_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~10 .lut_mask = 16'h5FC0; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~10 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add12~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add12~0_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & ((!\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4])) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]), + .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]), + .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add12~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add12~0 .lut_mask = 16'h0CFC; +defparam \hdmi_ctrl_inst|encode_inst0|Add12~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N29 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|Add12~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add14~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4] $ (!\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]), + .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]), + .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add14~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add14~1 .lut_mask = 16'hC030; +defparam \hdmi_ctrl_inst|encode_inst0|Add14~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N19 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|Add14~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~13 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & +// ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add22~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add22~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~13_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~13 .lut_mask = 16'hAF44; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~13 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~14 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~13_combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~13_combout & +// (\hdmi_ctrl_inst|encode_inst0|Add19~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|Add16~13_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add19~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]), + .datad(\hdmi_ctrl_inst|encode_inst0|Add16~13_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~14 .lut_mask = 16'h5F88; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~14 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y22_N1 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~15 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & ((\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & +// (\hdmi_ctrl_inst|encode_inst0|Add15~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add15~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~15_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~15 .lut_mask = 16'hA088; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~15 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal2~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])))) # +// (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Equal2~0 .lut_mask = 16'h8421; +defparam \hdmi_ctrl_inst|encode_inst0|Equal2~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal2~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Equal2~1_combout = (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]), + .datad(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Equal2~1 .lut_mask = 16'h0F00; +defparam \hdmi_ctrl_inst|encode_inst0|Equal2~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ) # (\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(), + .cout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 .lut_mask = 16'h00EE; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst0|Add16~4_combout $ (\hdmi_ctrl_inst|encode_inst0|Add16~6_combout $ (!\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst0|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~4_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ) # (!\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~4_combout & +// (\hdmi_ctrl_inst|encode_inst0|Add16~6_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~4_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ), + .combout(\hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add4~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add4~1_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & \hdmi_ctrl_inst|encode_inst0|Add4~0_combout )) + + .dataa(\vga_ctrl_inst|pix_data_req~4_combout ), + .datab(gnd), + .datac(\vga_ctrl_inst|pix_data_req~1_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add4~1 .lut_mask = 16'hA000; +defparam \hdmi_ctrl_inst|encode_inst0|Add4~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N17 +dffeas \hdmi_ctrl_inst|encode_inst2|de_reg1 ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|de_reg1~q ), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|de_reg1 .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|de_reg1 .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout = \hdmi_ctrl_inst|encode_inst2|de_reg1~q + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|de_reg1~q ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N1 +dffeas \hdmi_ctrl_inst|encode_inst2|de_reg2 ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|de_reg2 .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|de_reg2 .power_up = "low"; +// synopsys translate_on + +// Location: FF_X38_Y22_N13 +dffeas \hdmi_ctrl_inst|encode_inst0|cnt[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|cnt [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|condition_2~combout = (\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout & (((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3]))) # +// (!\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]), + .datac(\hdmi_ctrl_inst|encode_inst0|cnt [3]), + .datad(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|condition_2 .lut_mask = 16'h3B0A; +defparam \hdmi_ctrl_inst|encode_inst0|condition_2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~6 .lut_mask = 16'hBFAA; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst0|Add16~1_combout $ (\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst0|Add16~2_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~1_combout ), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|Add16~2_combout ), + .cin(\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 ), + .combout(\hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 .lut_mask = 16'hA55A; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X38_Y22_N15 +dffeas \hdmi_ctrl_inst|encode_inst0|cnt[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|cnt [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & \hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))) # +// (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]) # ((!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & \hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|condition_3~0 .lut_mask = 16'h7150; +defparam \hdmi_ctrl_inst|encode_inst0|condition_3~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (((\hdmi_ctrl_inst|encode_inst0|cnt [4])))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [4] & +// ((\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [4] & (\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]), + .datac(\hdmi_ctrl_inst|encode_inst0|cnt [4]), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|condition_3~2 .lut_mask = 16'hF2C2; +defparam \hdmi_ctrl_inst|encode_inst0|condition_3~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out~1_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [1] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_reg [1]), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out~1 .lut_mask = 16'h87D2; +defparam \hdmi_ctrl_inst|encode_inst0|data_out~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~1_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out~1_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N28 +cycloneive_lcell_comb \vga_ctrl_inst|LessThan0~0 ( +// Equation(s): +// \vga_ctrl_inst|LessThan0~0_combout = (\vga_ctrl_inst|cnt_h [7]) # ((\vga_ctrl_inst|cnt_h [10]) # ((\vga_ctrl_inst|cnt_h [9]) # (\vga_ctrl_inst|cnt_h [11]))) + + .dataa(\vga_ctrl_inst|cnt_h [7]), + .datab(\vga_ctrl_inst|cnt_h [10]), + .datac(\vga_ctrl_inst|cnt_h [9]), + .datad(\vga_ctrl_inst|cnt_h [11]), + .cin(gnd), + .combout(\vga_ctrl_inst|LessThan0~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|LessThan0~0 .lut_mask = 16'hFFFE; +defparam \vga_ctrl_inst|LessThan0~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N26 +cycloneive_lcell_comb \vga_ctrl_inst|LessThan0~1 ( +// Equation(s): +// \vga_ctrl_inst|LessThan0~1_combout = (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|LessThan0~0_combout & ((!\vga_ctrl_inst|cnt_h [5]) # (!\vga_ctrl_inst|cnt_h [6])))) + + .dataa(\vga_ctrl_inst|cnt_h [8]), + .datab(\vga_ctrl_inst|cnt_h [6]), + .datac(\vga_ctrl_inst|cnt_h [5]), + .datad(\vga_ctrl_inst|LessThan0~0_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|LessThan0~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|LessThan0~1 .lut_mask = 16'h0015; +defparam \vga_ctrl_inst|LessThan0~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X38_Y20_N27 +dffeas \hdmi_ctrl_inst|encode_inst2|c0_reg1 ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|LessThan0~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|c0_reg1~q ), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|c0_reg1 .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|c0_reg1 .power_up = "low"; +// synopsys translate_on + +// Location: FF_X38_Y20_N25 +dffeas \hdmi_ctrl_inst|encode_inst2|c0_reg2 ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg1~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2 .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2 .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y22_N9 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst0|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 .lut_mask = 16'h33CC; +defparam \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N25 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [5]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out~4_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_reg [5]), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out~4 .lut_mask = 16'hC35A; +defparam \hdmi_ctrl_inst|encode_inst0|data_out~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~4_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out~4_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N29 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[5] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [5]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[5] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[5] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N14 +cycloneive_lcell_comb \vga_ctrl_inst|LessThan1~0 ( +// Equation(s): +// \vga_ctrl_inst|LessThan1~0_combout = (!\vga_ctrl_inst|cnt_v [2] & (!\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [3] & \vga_ctrl_inst|always1~1_combout ))) + + .dataa(\vga_ctrl_inst|cnt_v [2]), + .datab(\vga_ctrl_inst|cnt_v [9]), + .datac(\vga_ctrl_inst|cnt_v [3]), + .datad(\vga_ctrl_inst|always1~1_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|LessThan1~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|LessThan1~0 .lut_mask = 16'h0100; +defparam \vga_ctrl_inst|LessThan1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N15 +dffeas \hdmi_ctrl_inst|encode_inst2|c1_reg1 ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|LessThan1~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|c1_reg1~q ), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|c1_reg1 .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|c1_reg1 .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout = \hdmi_ctrl_inst|encode_inst2|c1_reg1~q + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|c1_reg1~q ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N5 +dffeas \hdmi_ctrl_inst|encode_inst2|c1_reg2 ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2 .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2 .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|c0_reg2~q $ +// (!\hdmi_ctrl_inst|encode_inst2|c1_reg2~q )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datac(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .datad(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out~7_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out~7 .lut_mask = 16'hACA3; +defparam \hdmi_ctrl_inst|encode_inst0|data_out~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N5 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[9] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out~7_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [9]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[9] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[9] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout = (\hdmi_ctrl_inst|encode_inst0|data_out [9] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_out [9]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 .lut_mask = 16'hC0C0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N1 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_out [5]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 .lut_mask = 16'hCFC0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N13 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_out [5]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 .lut_mask = 16'hCFC0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N7 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2]))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [3]), + .datab(gnd), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 .lut_mask = 16'hAFA0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N3 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [1])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_out [1]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 .lut_mask = 16'hCFC0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N25 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X39_Y22_N25 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~3 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out~3_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datac(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out~3 .lut_mask = 16'hA3A3; +defparam \hdmi_ctrl_inst|encode_inst0|data_out~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N17 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out~3_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|data_out [2]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2])) + + .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|data_out [2]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 .lut_mask = 16'hCACA; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N21 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [0])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(\hdmi_ctrl_inst|encode_inst0|data_out [0]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 .lut_mask = 16'hF3C0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N9 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] .power_up = "low"; +// synopsys translate_on + +// Location: DDIOOUTCELL_X41_Y22_N18 +cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] ( + .datainlo(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]), + .datainhi(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]), + .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clk(gnd), + .ena(vcc), + .areset(gnd), + .sreset(gnd), + .devclrn(devclrn), + .devpor(devpor), + .dataout(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .dfflo(), + .dffhi()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N16 +cycloneive_lcell_comb \vga_pic_inst|LessThan17~2 ( +// Equation(s): +// \vga_pic_inst|LessThan17~2_combout = (!\vga_ctrl_inst|Add2~12_combout & (!\vga_ctrl_inst|Add2~10_combout & \vga_ctrl_inst|pix_data_req~7_combout )) + + .dataa(\vga_ctrl_inst|Add2~12_combout ), + .datab(\vga_ctrl_inst|Add2~10_combout ), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(gnd), + .cin(gnd), + .combout(\vga_pic_inst|LessThan17~2_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|LessThan17~2 .lut_mask = 16'h1010; +defparam \vga_pic_inst|LessThan17~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N24 +cycloneive_lcell_comb \vga_pic_inst|pix_data[9]~15 ( +// Equation(s): +// \vga_pic_inst|pix_data[9]~15_combout = (\vga_pic_inst|pix_data[9]~14_combout & (!\vga_ctrl_inst|Add2~18_combout & (!\vga_ctrl_inst|Add2~16_combout & \vga_pic_inst|LessThan17~2_combout ))) + + .dataa(\vga_pic_inst|pix_data[9]~14_combout ), + .datab(\vga_ctrl_inst|Add2~18_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_pic_inst|LessThan17~2_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data[9]~15_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[9]~15 .lut_mask = 16'h0200; +defparam \vga_pic_inst|pix_data[9]~15 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N4 +cycloneive_lcell_comb \vga_pic_inst|pix_data~35 ( +// Equation(s): +// \vga_pic_inst|pix_data~35_combout = (\vga_pic_inst|LessThan10~0_combout ) # ((\vga_ctrl_inst|Add2~18_combout ) # ((\vga_pic_inst|pix_data[13]~11_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout ))) + + .dataa(\vga_pic_inst|LessThan10~0_combout ), + .datab(\vga_ctrl_inst|Add2~18_combout ), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_pic_inst|pix_data[13]~11_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~35_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~35 .lut_mask = 16'hFFEF; +defparam \vga_pic_inst|pix_data~35 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N30 +cycloneive_lcell_comb \vga_pic_inst|pix_data~36 ( +// Equation(s): +// \vga_pic_inst|pix_data~36_combout = (\vga_pic_inst|always0~2_combout & (!\vga_ctrl_inst|Add2~20_combout & (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_pic_inst|pix_data[9]~15_combout ))) + + .dataa(\vga_pic_inst|always0~2_combout ), + .datab(\vga_ctrl_inst|Add2~20_combout ), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_pic_inst|pix_data[9]~15_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~36_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~36 .lut_mask = 16'h0020; +defparam \vga_pic_inst|pix_data~36 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N6 +cycloneive_lcell_comb \vga_pic_inst|pix_data~21 ( +// Equation(s): +// \vga_pic_inst|pix_data~21_combout = (\vga_pic_inst|pix_data~12_combout & (!\vga_ctrl_inst|Add2~12_combout & ((\vga_pic_inst|LessThan14~0_combout ) # (\vga_pic_inst|always0~0_combout )))) + + .dataa(\vga_pic_inst|LessThan14~0_combout ), + .datab(\vga_pic_inst|pix_data~12_combout ), + .datac(\vga_ctrl_inst|Add2~12_combout ), + .datad(\vga_pic_inst|always0~0_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~21_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~21 .lut_mask = 16'h0C08; +defparam \vga_pic_inst|pix_data~21 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N22 +cycloneive_lcell_comb \vga_pic_inst|pix_data~26 ( +// Equation(s): +// \vga_pic_inst|pix_data~26_combout = (\vga_pic_inst|pix_data~36_combout & ((\vga_pic_inst|pix_data~25_combout ) # ((\vga_pic_inst|pix_data~35_combout & \vga_pic_inst|pix_data~21_combout )))) + + .dataa(\vga_pic_inst|pix_data~25_combout ), + .datab(\vga_pic_inst|pix_data~35_combout ), + .datac(\vga_pic_inst|pix_data~36_combout ), + .datad(\vga_pic_inst|pix_data~21_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~26_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~26 .lut_mask = 16'hE0A0; +defparam \vga_pic_inst|pix_data~26 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y23_N8 +cycloneive_lcell_comb \vga_ctrl_inst|pix_x[11]~0 ( +// Equation(s): +// \vga_ctrl_inst|pix_x[11]~0_combout = (\vga_ctrl_inst|Add2~20_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_ctrl_inst|Add2~20_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_x[11]~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_x[11]~0 .lut_mask = 16'hFF0F; +defparam \vga_ctrl_inst|pix_x[11]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N8 +cycloneive_lcell_comb \vga_pic_inst|pix_data~27 ( +// Equation(s): +// \vga_pic_inst|pix_data~27_combout = (\vga_pic_inst|pix_data~26_combout ) # ((!\vga_pic_inst|always0~2_combout & (!\vga_pic_inst|pix_data[9]~15_combout & !\vga_ctrl_inst|pix_x[11]~0_combout ))) + + .dataa(\vga_pic_inst|always0~2_combout ), + .datab(\vga_pic_inst|pix_data[9]~15_combout ), + .datac(\vga_pic_inst|pix_data~26_combout ), + .datad(\vga_ctrl_inst|pix_x[11]~0_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~27_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~27 .lut_mask = 16'hF0F1; +defparam \vga_pic_inst|pix_data~27 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X38_Y21_N9 +dffeas \vga_pic_inst|pix_data[10] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_pic_inst|pix_data~27_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_pic_inst|pix_data [10]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[10] .is_wysiwyg = "true"; +defparam \vga_pic_inst|pix_data[10] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N14 +cycloneive_lcell_comb \vga_ctrl_inst|rgb[10]~2 ( +// Equation(s): +// \vga_ctrl_inst|rgb[10]~2_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_pic_inst|pix_data [10] & \vga_ctrl_inst|pix_data_req~1_combout ))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .datab(\vga_ctrl_inst|pix_data_req~4_combout ), + .datac(\vga_pic_inst|pix_data [10]), + .datad(\vga_ctrl_inst|pix_data_req~1_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|rgb[10]~2_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|rgb[10]~2 .lut_mask = 16'h8000; +defparam \vga_ctrl_inst|rgb[10]~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N15 +dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|rgb[10]~2_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N0 +cycloneive_lcell_comb \vga_pic_inst|pix_data~29 ( +// Equation(s): +// \vga_pic_inst|pix_data~29_combout = (\vga_ctrl_inst|pix_data_req~7_combout & ((\vga_ctrl_inst|Add2~14_combout & (!\vga_ctrl_inst|Add2~10_combout & !\vga_ctrl_inst|Add2~12_combout )) # (!\vga_ctrl_inst|Add2~14_combout & +// ((\vga_ctrl_inst|Add2~12_combout ))))) + + .dataa(\vga_ctrl_inst|Add2~14_combout ), + .datab(\vga_ctrl_inst|Add2~10_combout ), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_ctrl_inst|Add2~12_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~29_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~29 .lut_mask = 16'h5020; +defparam \vga_pic_inst|pix_data~29 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N26 +cycloneive_lcell_comb \vga_pic_inst|pix_data~30 ( +// Equation(s): +// \vga_pic_inst|pix_data~30_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~20_combout & (!\vga_ctrl_inst|Add2~16_combout & !\vga_ctrl_inst|Add2~18_combout ))) + + .dataa(\vga_ctrl_inst|pix_data_req~7_combout ), + .datab(\vga_ctrl_inst|Add2~20_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_ctrl_inst|Add2~18_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~30_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~30 .lut_mask = 16'h0002; +defparam \vga_pic_inst|pix_data~30 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N4 +cycloneive_lcell_comb \vga_pic_inst|pix_data~31 ( +// Equation(s): +// \vga_pic_inst|pix_data~31_combout = (\vga_pic_inst|LessThan17~3_combout ) # ((\vga_pic_inst|pix_data~29_combout & \vga_pic_inst|pix_data~30_combout )) + + .dataa(gnd), + .datab(\vga_pic_inst|pix_data~29_combout ), + .datac(\vga_pic_inst|pix_data~30_combout ), + .datad(\vga_pic_inst|LessThan17~3_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~31_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~31 .lut_mask = 16'hFFC0; +defparam \vga_pic_inst|pix_data~31 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X38_Y21_N5 +dffeas \vga_pic_inst|pix_data[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_pic_inst|pix_data~31_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_pic_inst|pix_data [8]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[8] .is_wysiwyg = "true"; +defparam \vga_pic_inst|pix_data[8] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N4 +cycloneive_lcell_comb \vga_ctrl_inst|rgb[6]~4 ( +// Equation(s): +// \vga_ctrl_inst|rgb[6]~4_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~4_combout & \vga_pic_inst|pix_data [8]))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .datab(\vga_ctrl_inst|pix_data_req~1_combout ), + .datac(\vga_ctrl_inst|pix_data_req~4_combout ), + .datad(\vga_pic_inst|pix_data [8]), + .cin(gnd), + .combout(\vga_ctrl_inst|rgb[6]~4_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|rgb[6]~4 .lut_mask = 16'h8000; +defparam \vga_ctrl_inst|rgb[6]~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N5 +dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|rgb[6]~4_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add5~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add5~0_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~1_combout & (\vga_pic_inst|pix_data [8] & ((\vga_pic_inst|pix_data [9]) # (\vga_pic_inst|pix_data [10])))) + + .dataa(\vga_pic_inst|pix_data [9]), + .datab(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ), + .datac(\vga_pic_inst|pix_data [10]), + .datad(\vga_pic_inst|pix_data [8]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add5~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add5~0 .lut_mask = 16'hC800; +defparam \hdmi_ctrl_inst|encode_inst1|Add5~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N27 +dffeas \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|Add5~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])))) # +// (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]), + .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]), + .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add14~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add14~1 .lut_mask = 16'h6006; +defparam \hdmi_ctrl_inst|encode_inst1|Add14~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N25 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|Add14~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N2 +cycloneive_lcell_comb \vga_pic_inst|pix_data~28 ( +// Equation(s): +// \vga_pic_inst|pix_data~28_combout = (\vga_pic_inst|pix_data~36_combout & ((\vga_pic_inst|pix_data~25_combout ) # ((\vga_pic_inst|pix_data~35_combout & \vga_pic_inst|pix_data~21_combout )))) + + .dataa(\vga_pic_inst|pix_data~25_combout ), + .datab(\vga_pic_inst|pix_data~35_combout ), + .datac(\vga_pic_inst|pix_data~36_combout ), + .datad(\vga_pic_inst|pix_data~21_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~28_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~28 .lut_mask = 16'hE0A0; +defparam \vga_pic_inst|pix_data~28 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X38_Y21_N3 +dffeas \vga_pic_inst|pix_data[9] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_pic_inst|pix_data~28_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_pic_inst|pix_data [9]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[9] .is_wysiwyg = "true"; +defparam \vga_pic_inst|pix_data[9] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N8 +cycloneive_lcell_comb \vga_ctrl_inst|rgb[7]~3 ( +// Equation(s): +// \vga_ctrl_inst|rgb[7]~3_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~4_combout & \vga_pic_inst|pix_data [9]))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .datab(\vga_ctrl_inst|pix_data_req~1_combout ), + .datac(\vga_ctrl_inst|pix_data_req~4_combout ), + .datad(\vga_pic_inst|pix_data [9]), + .cin(gnd), + .combout(\vga_ctrl_inst|rgb[7]~3_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|rgb[7]~3 .lut_mask = 16'h8000; +defparam \vga_ctrl_inst|rgb[7]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N9 +dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|rgb[7]~3_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add13~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add13~0_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4])) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & +// ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))))) # (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & +// (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4])))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]), + .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add13~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add13~0 .lut_mask = 16'hF690; +defparam \hdmi_ctrl_inst|encode_inst1|Add13~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N13 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|Add13~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add13~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add13~1_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & \hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & +// ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]) # (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]), + .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add13~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add13~1 .lut_mask = 16'hF330; +defparam \hdmi_ctrl_inst|encode_inst1|Add13~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N29 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|Add13~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & !\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]))) # +// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|condition_3~0 .lut_mask = 16'h08AE; +defparam \hdmi_ctrl_inst|encode_inst1|condition_3~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & \hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]))) # +// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]) # ((!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & \hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|condition_3~1 .lut_mask = 16'h7510; +defparam \hdmi_ctrl_inst|encode_inst1|condition_3~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add14~2_combout = (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & !\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]), + .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]), + .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add14~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add14~2 .lut_mask = 16'h0001; +defparam \hdmi_ctrl_inst|encode_inst1|Add14~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N11 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|Add14~2_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X33_Y21_N7 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [0] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [0] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & VCC)) +// \hdmi_ctrl_inst|encode_inst1|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [0] & \hdmi_ctrl_inst|encode_inst1|q_m_n1 [0])) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [0]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add15~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add15~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add15~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst1|Add15~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] $ (\hdmi_ctrl_inst|encode_inst1|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst1|Add15~3 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst1|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|cnt +// [2] & !\hdmi_ctrl_inst|encode_inst1|Add15~3 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]), + .datab(\hdmi_ctrl_inst|encode_inst1|cnt [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add15~3 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add15~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add15~4 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst1|Add15~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (((!\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & !\hdmi_ctrl_inst|encode_inst1|data_in_reg [7])) # (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]))) # +// (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]) # ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]) # (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]), + .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]), + .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add14~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add14~0 .lut_mask = 16'h37FE; +defparam \hdmi_ctrl_inst|encode_inst1|Add14~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N17 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|Add14~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst1|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & +// (\hdmi_ctrl_inst|encode_inst1|Add23~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|Add23~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & +// (!\hdmi_ctrl_inst|encode_inst1|Add23~1 )))) +// \hdmi_ctrl_inst|encode_inst1|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst1|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & +// ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add23~1 )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add23~1 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add23~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add23~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add23~2 .lut_mask = 16'h694D; +defparam \hdmi_ctrl_inst|encode_inst1|Add23~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~9 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ) # (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~2_combout & ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add23~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~9_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~9 .lut_mask = 16'hAAE4; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~9 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~10 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~9_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst1|Add16~9_combout & (\hdmi_ctrl_inst|encode_inst1|Add20~2_combout & ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add20~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|Add16~9_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~10_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~10 .lut_mask = 16'hCAF0; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~10 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N23 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~15 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|Add17~0_combout )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & +// ((\hdmi_ctrl_inst|encode_inst1|Add15~0_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add17~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add15~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~15_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~15 .lut_mask = 16'hA0C0; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~15 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & (\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & +// (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & +// ((\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst1|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & +// ((!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~16_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add16~15_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ), + .combout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X36_Y21_N11 +dffeas \hdmi_ctrl_inst|encode_inst1|cnt[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|cnt [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal1~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|cnt [0] & (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & !\hdmi_ctrl_inst|encode_inst1|cnt [4]))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [1]), + .datab(\hdmi_ctrl_inst|encode_inst1|cnt [0]), + .datac(\hdmi_ctrl_inst|encode_inst1|cnt [2]), + .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Equal1~0 .lut_mask = 16'h0001; +defparam \hdmi_ctrl_inst|encode_inst1|Equal1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal1~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Equal1~1_combout = (\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout & !\hdmi_ctrl_inst|encode_inst1|cnt [3]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst1|cnt [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Equal1~1 .lut_mask = 16'h00CC; +defparam \hdmi_ctrl_inst|encode_inst1|Equal1~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ) # (\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(), + .cout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 .lut_mask = 16'h00EE; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst1|Add16~14_combout $ (\hdmi_ctrl_inst|encode_inst1|Add16~12_combout $ (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst1|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~14_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~12_combout ) # (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~14_combout & +// (\hdmi_ctrl_inst|encode_inst1|Add16~12_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~14_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add16~12_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ), + .combout(\hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & (\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & +// (!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & +// ((\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst1|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & +// ((!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~8_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add16~10_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ), + .combout(\hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X36_Y21_N15 +dffeas \hdmi_ctrl_inst|encode_inst1|cnt[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|cnt [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~3 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst1|Add20~4_combout )) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst1|Add23~4_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add20~4_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|Add23~4_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~3 .lut_mask = 16'hEE50; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~3_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~3_combout +// & (\hdmi_ctrl_inst|encode_inst1|Add17~6_combout & ((\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add17~6_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|Add16~3_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~4 .lut_mask = 16'hCAF0; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst1|Add16~6_combout $ (\hdmi_ctrl_inst|encode_inst1|Add16~4_combout $ (!\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst1|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~6_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~4_combout ) # (!\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~6_combout & +// (\hdmi_ctrl_inst|encode_inst1|Add16~4_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~6_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add16~4_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ), + .combout(\hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X36_Y21_N17 +dffeas \hdmi_ctrl_inst|encode_inst1|cnt[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|cnt [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal2~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] $ (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])))) # +// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] $ (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Equal2~0 .lut_mask = 16'h8241; +defparam \hdmi_ctrl_inst|encode_inst1|Equal2~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|condition_2~combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & +// ((\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ) # ((!\hdmi_ctrl_inst|encode_inst1|cnt [3] & \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]), + .datab(\hdmi_ctrl_inst|encode_inst1|cnt [3]), + .datac(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|condition_2 .lut_mask = 16'h7350; +defparam \hdmi_ctrl_inst|encode_inst1|condition_2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 .lut_mask = 16'h22EE; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~11 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst1|Add19~0_combout ) # (\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # +// (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst1|Add22~0_combout & ((!\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add22~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add19~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~11_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~11 .lut_mask = 16'hF0CA; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~11 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~12 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~11_combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~11_combout & +// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1])))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add16~11_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]), + .datad(\hdmi_ctrl_inst|encode_inst1|Add16~11_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~12_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~12 .lut_mask = 16'h5F22; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~12 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X36_Y21_N13 +dffeas \hdmi_ctrl_inst|encode_inst1|cnt[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|cnt [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add22~6_combout = \hdmi_ctrl_inst|encode_inst1|Add22~5 $ (!\hdmi_ctrl_inst|encode_inst1|cnt [4]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]), + .cin(\hdmi_ctrl_inst|encode_inst1|Add22~5 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add22~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add22~6 .lut_mask = 16'hF00F; +defparam \hdmi_ctrl_inst|encode_inst1|Add22~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst1|Add19~6_combout )) # +// (!\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst1|Add22~6_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add19~6_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add22~6_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~2 .lut_mask = 16'hFFAC; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst1|Add16~1_combout $ (\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst1|Add16~2_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~1_combout ), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst1|Add16~2_combout ), + .cin(\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 ), + .combout(\hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 .lut_mask = 16'hA55A; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X36_Y21_N19 +dffeas \hdmi_ctrl_inst|encode_inst1|cnt[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|cnt [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (((\hdmi_ctrl_inst|encode_inst1|cnt [4])))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst1|cnt [4] & +// (\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [4] & ((\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]), + .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|condition_3~2 .lut_mask = 16'hEE50; +defparam \hdmi_ctrl_inst|encode_inst1|condition_3~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N19 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out~1_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [3]), + .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out~1 .lut_mask = 16'hA53C; +defparam \hdmi_ctrl_inst|encode_inst1|data_out~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~1_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out~1_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N1 +dffeas \hdmi_ctrl_inst|encode_inst1|data_out[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_out [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~3 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out~3_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [5]), + .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out~3 .lut_mask = 16'h9A56; +defparam \hdmi_ctrl_inst|encode_inst1|data_out~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~3_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out~3_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N29 +dffeas \hdmi_ctrl_inst|encode_inst1|data_out[5] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_out [5]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[5] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[5] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|data_out [5]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3])) + + .dataa(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3]), + .datab(\hdmi_ctrl_inst|encode_inst1|data_out [5]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 .lut_mask = 16'hCACA; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N21 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|data_out [3]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 .lut_mask = 16'hCFC0; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N3 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|data_out [3]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 .lut_mask = 16'hCFC0; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N25 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )) + + .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out~7_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out~7 .lut_mask = 16'hAF05; +defparam \hdmi_ctrl_inst|encode_inst1|data_out~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N29 +dffeas \hdmi_ctrl_inst|encode_inst1|data_out[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|data_out~7_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_out [8]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[8] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[8] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst1|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|data_out [8]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 .lut_mask = 16'hCC00; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N27 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4]))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [2]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 .lut_mask = 16'hB8B8; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N23 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3]))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [4]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 .lut_mask = 16'hB8B8; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N19 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2]))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [2]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 .lut_mask = 16'hBB88; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N15 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [0])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1]))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [0]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 .lut_mask = 16'hB8B8; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N3 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] .power_up = "low"; +// synopsys translate_on + +// Location: DDIOOUTCELL_X41_Y23_N11 +cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] ( + .datainlo(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]), + .datainhi(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]), + .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clk(gnd), + .ena(vcc), + .areset(gnd), + .sreset(gnd), + .devclrn(devclrn), + .devpor(devpor), + .dataout(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .dfflo(), + .dffhi()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N14 +cycloneive_lcell_comb \vga_pic_inst|pix_data~33 ( +// Equation(s): +// \vga_pic_inst|pix_data~33_combout = (\vga_pic_inst|pix_data~37_combout & ((\vga_pic_inst|pix_data[13]~10_combout ) # (\vga_pic_inst|pix_data~19_combout ))) + + .dataa(\vga_pic_inst|pix_data~37_combout ), + .datab(\vga_pic_inst|pix_data[13]~10_combout ), + .datac(gnd), + .datad(\vga_pic_inst|pix_data~19_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~33_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~33 .lut_mask = 16'hAA88; +defparam \vga_pic_inst|pix_data~33 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N15 +dffeas \vga_pic_inst|pix_data[13] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_pic_inst|pix_data~33_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_pic_inst|pix_data [13]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[13] .is_wysiwyg = "true"; +defparam \vga_pic_inst|pix_data[13] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N22 +cycloneive_lcell_comb \vga_ctrl_inst|rgb[13]~6 ( +// Equation(s): +// \vga_ctrl_inst|rgb[13]~6_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [13] & \hdmi_ctrl_inst|encode_inst0|Add4~0_combout ))) + + .dataa(\vga_ctrl_inst|pix_data_req~4_combout ), + .datab(\vga_ctrl_inst|pix_data_req~1_combout ), + .datac(\vga_pic_inst|pix_data [13]), + .datad(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|rgb[13]~6_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|rgb[13]~6 .lut_mask = 16'h8000; +defparam \vga_ctrl_inst|rgb[13]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N23 +dffeas \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|rgb[13]~6_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N4 +cycloneive_lcell_comb \vga_pic_inst|pix_data~32 ( +// Equation(s): +// \vga_pic_inst|pix_data~32_combout = (\vga_pic_inst|pix_data~37_combout & (!\vga_ctrl_inst|pix_x[11]~0_combout & ((\vga_pic_inst|pix_data[13]~9_combout ) # (!\vga_pic_inst|pix_data~13_combout )))) + + .dataa(\vga_pic_inst|pix_data~37_combout ), + .datab(\vga_pic_inst|pix_data~13_combout ), + .datac(\vga_pic_inst|pix_data[13]~9_combout ), + .datad(\vga_ctrl_inst|pix_x[11]~0_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~32_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~32 .lut_mask = 16'h00A2; +defparam \vga_pic_inst|pix_data~32 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N5 +dffeas \vga_pic_inst|pix_data[15] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_pic_inst|pix_data~32_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_pic_inst|pix_data [15]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[15] .is_wysiwyg = "true"; +defparam \vga_pic_inst|pix_data[15] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add6~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add6~0_combout = (\vga_ctrl_inst|pix_data_req~3_combout & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_pic_inst|pix_data [13] & \vga_pic_inst|pix_data [15]))) + + .dataa(\vga_ctrl_inst|pix_data_req~3_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .datac(\vga_pic_inst|pix_data [13]), + .datad(\vga_pic_inst|pix_data [15]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add6~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add6~0 .lut_mask = 16'h8000; +defparam \hdmi_ctrl_inst|encode_inst2|Add6~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N27 +dffeas \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|Add6~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N20 +cycloneive_lcell_comb \vga_ctrl_inst|rgb[12]~5 ( +// Equation(s): +// \vga_ctrl_inst|rgb[12]~5_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [15] & \hdmi_ctrl_inst|encode_inst0|Add4~0_combout ))) + + .dataa(\vga_ctrl_inst|pix_data_req~4_combout ), + .datab(\vga_ctrl_inst|pix_data_req~1_combout ), + .datac(\vga_pic_inst|pix_data [15]), + .datad(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|rgb[12]~5_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|rgb[12]~5 .lut_mask = 16'h8000; +defparam \vga_ctrl_inst|rgb[12]~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N21 +dffeas \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|rgb[12]~5_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add12~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add12~0_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & (!\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2])) # (!\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & ((\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]), + .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add12~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add12~0 .lut_mask = 16'h3F0C; +defparam \hdmi_ctrl_inst|encode_inst2|Add12~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y24_N5 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|Add12~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add14~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]) # ((\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]) # (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]), + .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add14~0 .lut_mask = 16'hFFFC; +defparam \hdmi_ctrl_inst|encode_inst2|Add14~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y24_N1 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add12~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add12~1_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] & !\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add12~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add12~1 .lut_mask = 16'h00F0; +defparam \hdmi_ctrl_inst|encode_inst2|Add12~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y24_N23 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|Add12~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & !\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))) # +// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|condition_3~0 .lut_mask = 16'h20F2; +defparam \hdmi_ctrl_inst|encode_inst2|condition_3~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y24_N7 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal2~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2])))) # +// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2])))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Equal2~0 .lut_mask = 16'h9009; +defparam \hdmi_ctrl_inst|encode_inst2|Equal2~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] & VCC)) +// \hdmi_ctrl_inst|encode_inst2|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [1] & \hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) + + .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add19~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add19~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add19~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst2|Add19~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~5 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~5_combout = (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ))) # +// (!\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst2|Add22~4_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add22~4_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~5 .lut_mask = 16'h0C0A; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datac(\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~6 .lut_mask = 16'hF7F0; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal1~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst2|cnt [4] & (!\hdmi_ctrl_inst|encode_inst2|cnt [0] & (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & !\hdmi_ctrl_inst|encode_inst2|cnt [2]))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [4]), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [0]), + .datac(\hdmi_ctrl_inst|encode_inst2|cnt [1]), + .datad(\hdmi_ctrl_inst|encode_inst2|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Equal1~0 .lut_mask = 16'h0001; +defparam \hdmi_ctrl_inst|encode_inst2|Equal1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal1~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Equal1~1_combout = (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & \hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst2|cnt [3]), + .datad(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Equal1~1 .lut_mask = 16'h0F00; +defparam \hdmi_ctrl_inst|encode_inst2|Equal1~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ) # (\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(), + .cout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 .lut_mask = 16'h00EE; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & (\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & +// (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & +// ((\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst2|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & +// ((!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~16_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add16~15_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ), + .combout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y24_N3 +dffeas \hdmi_ctrl_inst|encode_inst2|cnt[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|cnt [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst2|cnt [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst2|cnt [0] & VCC)) +// \hdmi_ctrl_inst|encode_inst2|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & \hdmi_ctrl_inst|encode_inst2|cnt [0])) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add15~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add15~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add15~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst2|Add15~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~15 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst2|Add17~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] & +// ((\hdmi_ctrl_inst|encode_inst2|Add15~0_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add17~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add15~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~15_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~15 .lut_mask = 16'hAC00; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~15 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst2|Add16~12_combout $ (\hdmi_ctrl_inst|encode_inst2|Add16~14_combout $ (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst2|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~12_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ) # (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~12_combout & +// (\hdmi_ctrl_inst|encode_inst2|Add16~14_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~12_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ), + .combout(\hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & (\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & +// (!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & +// ((\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst2|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & +// ((!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~10_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add16~8_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ), + .combout(\hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst2|Add16~4_combout $ (\hdmi_ctrl_inst|encode_inst2|Add16~6_combout $ (!\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst2|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~4_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~6_combout ) # (!\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~4_combout & +// (\hdmi_ctrl_inst|encode_inst2|Add16~6_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~4_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add16~6_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ), + .combout(\hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y24_N9 +dffeas \hdmi_ctrl_inst|encode_inst2|cnt[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|cnt [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout = !\hdmi_ctrl_inst|encode_inst2|Add14~0_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 .lut_mask = 16'h00FF; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y24_N3 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|condition_2~combout = (\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout & (((\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3]))) # +// (!\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout & (\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|cnt [3]), + .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|condition_2 .lut_mask = 16'h0ACE; +defparam \hdmi_ctrl_inst|encode_inst2|condition_2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 .lut_mask = 16'h33AA; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~14 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~13_combout & (((!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~13_combout +// & (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add19~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~13_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]), + .datad(\hdmi_ctrl_inst|encode_inst2|Add19~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~14 .lut_mask = 16'h6E2A; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~14 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y24_N5 +dffeas \hdmi_ctrl_inst|encode_inst2|cnt[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|cnt [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst2|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & +// (\hdmi_ctrl_inst|encode_inst2|Add23~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|Add23~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & +// (!\hdmi_ctrl_inst|encode_inst2|Add23~1 )))) +// \hdmi_ctrl_inst|encode_inst2|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst2|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & +// ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add23~1 )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add23~1 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add23~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add23~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add23~2 .lut_mask = 16'h694D; +defparam \hdmi_ctrl_inst|encode_inst2|Add23~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst2|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & +// ((\hdmi_ctrl_inst|encode_inst2|Add20~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst2|Add20~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & +// (!\hdmi_ctrl_inst|encode_inst2|Add20~1 )))) +// \hdmi_ctrl_inst|encode_inst2|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((!\hdmi_ctrl_inst|encode_inst2|Add20~1 ) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & +// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst2|Add20~1 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add20~1 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add20~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add20~2 .lut_mask = 16'h692B; +defparam \hdmi_ctrl_inst|encode_inst2|Add20~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst2|Add23~2_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add23~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~7_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~7 .lut_mask = 16'hFA44; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~7_combout & (((\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~7_combout +// & (\hdmi_ctrl_inst|encode_inst2|Add17~4_combout & ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add17~4_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|Add16~7_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~8 .lut_mask = 16'hCAF0; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y24_N7 +dffeas \hdmi_ctrl_inst|encode_inst2|cnt[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|cnt [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[2] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X39_Y24_N9 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add17~8_combout = \hdmi_ctrl_inst|encode_inst2|Add17~7 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]), + .cin(\hdmi_ctrl_inst|encode_inst2|Add17~7 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add17~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add17~8 .lut_mask = 16'hF00F; +defparam \hdmi_ctrl_inst|encode_inst2|Add17~8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst2|Add23~5 + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst2|Add23~5 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add23~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add23~6 .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst2|Add23~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add17~8_combout ) # ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|Add23~6_combout & !\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add17~8_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|Add23~6_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~0 .lut_mask = 16'hAAD8; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst2|Add20~5 + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst2|Add20~5 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add20~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add20~6 .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst2|Add20~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add15~8_combout ) # ((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~0_combout +// & (((\hdmi_ctrl_inst|encode_inst2|Add20~6_combout & \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add15~8_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add16~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|Add20~6_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~1 .lut_mask = 16'hB8CC; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst2|Add16~2_combout $ (\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst2|Add16~1_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~2_combout ), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|Add16~1_combout ), + .cin(\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 ), + .combout(\hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 .lut_mask = 16'hA55A; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y24_N11 +dffeas \hdmi_ctrl_inst|encode_inst2|cnt[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|cnt [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [4] & (((\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ) # (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [4] & +// (\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|cnt [4]), + .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|condition_3~2 .lut_mask = 16'hF0CA; +defparam \hdmi_ctrl_inst|encode_inst2|condition_3~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y24_N21 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out~1_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [1] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [1]), + .datad(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out~1 .lut_mask = 16'hB41E; +defparam \hdmi_ctrl_inst|encode_inst2|data_out~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~1_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out~1_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N1 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 .lut_mask = 16'h0FF0; +defparam \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y24_N29 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [5]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out~4_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [5]), + .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out~4 .lut_mask = 16'h939C; +defparam \hdmi_ctrl_inst|encode_inst2|data_out~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~4_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out~4_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N17 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[5] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [5]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[5] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[5] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst2|c1_reg2~q $ +// ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .datab(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ), + .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out~7_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out~7 .lut_mask = 16'hEB41; +defparam \hdmi_ctrl_inst|encode_inst2|data_out~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N19 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[9] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out~7_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [9]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[9] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[9] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout = (\hdmi_ctrl_inst|encode_inst2|data_out [9] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_out [9]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 .lut_mask = 16'hC0C0; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N13 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_out [5]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 .lut_mask = 16'hCCF0; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N9 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_out [5]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 .lut_mask = 16'hCCF0; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N5 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2]))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [3]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 .lut_mask = 16'hB8B8; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N25 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [1])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_out [1]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 .lut_mask = 16'hCFC0; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N21 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y24_N17 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 .lut_mask = 16'h33AA; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout = !\hdmi_ctrl_inst|encode_inst2|c0_reg2~q + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N21 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[6] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [6]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[6] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[6] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst2|data_out [6]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4])) + + .dataa(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4]), + .datab(\hdmi_ctrl_inst|encode_inst2|data_out [6]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 .lut_mask = 16'hCCAA; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N7 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3]))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [4]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 .lut_mask = 16'hBB88; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N25 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2]))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [2]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 .lut_mask = 16'hBB88; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N1 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [0])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_out [0]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 .lut_mask = 16'hCFC0; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N15 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] .power_up = "low"; +// synopsys translate_on + +// Location: DDIOOUTCELL_X41_Y24_N4 +cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] ( + .datainlo(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]), + .datainhi(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]), + .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clk(gnd), + .ena(vcc), + .areset(gnd), + .sreset(gnd), + .devclrn(devclrn), + .devpor(devpor), + .dataout(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .dfflo(), + .dffhi()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true"; +// synopsys translate_on + +// Location: DDIOOUTCELL_X41_Y22_N25 +cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] ( + .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]), + .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]), + .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clk(gnd), + .ena(vcc), + .areset(gnd), + .sreset(gnd), + .devclrn(devclrn), + .devpor(devpor), + .dataout(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .dfflo(), + .dffhi()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true"; +// synopsys translate_on + +// Location: DDIOOUTCELL_X41_Y23_N18 +cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] ( + .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]), + .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]), + .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clk(gnd), + .ena(vcc), + .areset(gnd), + .sreset(gnd), + .devclrn(devclrn), + .devpor(devpor), + .dataout(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .dfflo(), + .dffhi()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true"; +// synopsys translate_on + +// Location: DDIOOUTCELL_X41_Y24_N11 +cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] ( + .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]), + .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]), + .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clk(gnd), + .ena(vcc), + .areset(gnd), + .sreset(gnd), + .devclrn(devclrn), + .devpor(devpor), + .dataout(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .dfflo(), + .dffhi()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true"; +// synopsys translate_on + +endmodule diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_0c_slow.vo b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_0c_slow.vo new file mode 100644 index 0000000..ec034b8 --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_0c_slow.vo @@ -0,0 +1,11443 @@ +// Copyright (C) 1991-2013 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + +// VENDOR "Altera" +// PROGRAM "Quartus II 64-Bit" +// VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version" + +// DATE "06/02/2023 04:17:19" + +// +// Device: Altera EP4CE15F23C8 Package FBGA484 +// + +// +// This Verilog file should be used for ModelSim (Verilog) only +// + +`timescale 1 ps/ 1 ps + +module hdmi_colorbar ( + sys_clk, + sys_rst_n, + ddc_scl, + ddc_sda, + tmds_clk_p, + tmds_clk_n, + tmds_data_p, + tmds_data_n); +input sys_clk; +input sys_rst_n; +output ddc_scl; +output ddc_sda; +output tmds_clk_p; +output tmds_clk_n; +output [2:0] tmds_data_p; +output [2:0] tmds_data_n; + +// Design Ports Information +// ddc_scl => Location: PIN_N22, I/O Standard: 2.5 V, Current Strength: Default +// ddc_sda => Location: PIN_R22, I/O Standard: 2.5 V, Current Strength: Default +// tmds_clk_p => Location: PIN_H21, I/O Standard: 2.5 V, Current Strength: Default +// tmds_clk_n => Location: PIN_H22, I/O Standard: 2.5 V, Current Strength: Default +// tmds_data_p[0] => Location: PIN_F21, I/O Standard: 2.5 V, Current Strength: Default +// tmds_data_p[1] => Location: PIN_E21, I/O Standard: 2.5 V, Current Strength: Default +// tmds_data_p[2] => Location: PIN_D21, I/O Standard: 2.5 V, Current Strength: Default +// tmds_data_n[0] => Location: PIN_F22, I/O Standard: 2.5 V, Current Strength: Default +// tmds_data_n[1] => Location: PIN_E22, I/O Standard: 2.5 V, Current Strength: Default +// tmds_data_n[2] => Location: PIN_D22, I/O Standard: 2.5 V, Current Strength: Default +// sys_rst_n => Location: PIN_U20, I/O Standard: 2.5 V, Current Strength: Default +// sys_clk => Location: PIN_T22, I/O Standard: 2.5 V, Current Strength: Default + + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +tri1 devclrn; +tri1 devpor; +tri1 devoe; +// synopsys translate_off +initial $sdf_annotate("hdmi_colorbar_8_1200mv_0c_v_slow.sdo"); +// synopsys translate_on + +wire \hdmi_ctrl_inst|encode_inst0|Add20~1 ; +wire \hdmi_ctrl_inst|encode_inst0|Add20~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add20~3 ; +wire \hdmi_ctrl_inst|encode_inst0|Add20~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add20~5 ; +wire \hdmi_ctrl_inst|encode_inst0|Add20~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add20~6_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~1 ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~3 ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~5 ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~7 ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~6_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~8_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add23~1 ; +wire \hdmi_ctrl_inst|encode_inst0|Add23~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add23~3 ; +wire \hdmi_ctrl_inst|encode_inst0|Add23~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add23~5 ; +wire \hdmi_ctrl_inst|encode_inst0|Add23~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add23~6_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~1 ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~3 ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~5 ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~7 ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~6_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~8_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add19~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add22~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add20~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add20~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add20~5 ; +wire \hdmi_ctrl_inst|encode_inst1|Add20~6_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~5 ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~7 ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~6_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~8_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add23~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add23~5 ; +wire \hdmi_ctrl_inst|encode_inst1|Add23~6_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~7 ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~8_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add19~1 ; +wire \hdmi_ctrl_inst|encode_inst1|Add19~3 ; +wire \hdmi_ctrl_inst|encode_inst1|Add19~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add19~5 ; +wire \hdmi_ctrl_inst|encode_inst1|Add19~4_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add19~6_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add22~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add22~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add22~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add20~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add20~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~6_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add23~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add23~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~5 ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~7 ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~6_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~8_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add19~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add19~5 ; +wire \hdmi_ctrl_inst|encode_inst2|Add19~6_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add22~1 ; +wire \hdmi_ctrl_inst|encode_inst2|Add22~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add22~3 ; +wire \hdmi_ctrl_inst|encode_inst2|Add22~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add22~5 ; +wire \hdmi_ctrl_inst|encode_inst2|Add22~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add22~6_combout ; +wire \vga_ctrl_inst|Add0~2_combout ; +wire \vga_ctrl_inst|Add0~10_combout ; +wire \vga_ctrl_inst|Add1~2_combout ; +wire \vga_ctrl_inst|Add1~6_combout ; +wire \vga_ctrl_inst|Add1~8_combout ; +wire \vga_ctrl_inst|Add1~10_combout ; +wire \vga_ctrl_inst|Add1~12_combout ; +wire \vga_ctrl_inst|Add1~14_combout ; +wire \vga_ctrl_inst|Add1~16_combout ; +wire \vga_ctrl_inst|Add1~18_combout ; +wire \vga_ctrl_inst|Add1~20_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~3_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~5_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~7_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~8_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~11_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~12_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~16_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add12~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out~2_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~1_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~5_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~6_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~7_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~8_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~13_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~14_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~16_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~3_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~9_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~10_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~11_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~12_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~13_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~16_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add14~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out~3_combout ; +wire \vga_ctrl_inst|pix_data_req~0_combout ; +wire \vga_ctrl_inst|pix_data_req~3_combout ; +wire \hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out~5_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out~5_combout ; +wire \vga_ctrl_inst|pix_x[10]~1_combout ; +wire \vga_pic_inst|always0~1_combout ; +wire \vga_pic_inst|always0~2_combout ; +wire \vga_pic_inst|pix_data[9]~14_combout ; +wire \vga_pic_inst|pix_data~16_combout ; +wire \vga_ctrl_inst|pix_data_req~8_combout ; +wire \vga_ctrl_inst|cnt_v[10]~12_combout ; +wire \hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ; +wire \vga_pic_inst|LessThan10~0_combout ; +wire \vga_pic_inst|pix_data~22_combout ; +wire \vga_pic_inst|pix_data~23_combout ; +wire \vga_pic_inst|LessThan14~1_combout ; +wire \vga_pic_inst|pix_data[13]~24_combout ; +wire \vga_pic_inst|pix_data~25_combout ; +wire \hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out~5_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out~8_combout ; +wire \hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out~6_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out~8_combout ; +wire \vga_pic_inst|pix_data~37_combout ; +wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ; +wire \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ; +wire \sys_clk~input_o ; +wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ; +wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ; +wire \vga_ctrl_inst|Add0~0_combout ; +wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ; +wire \sys_rst_n~input_o ; +wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ; +wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ; +wire \rst_n~0_combout ; +wire \rst_n~0clkctrl_outclk ; +wire \vga_ctrl_inst|Add0~1 ; +wire \vga_ctrl_inst|Add0~3 ; +wire \vga_ctrl_inst|Add0~5 ; +wire \vga_ctrl_inst|Add0~6_combout ; +wire \vga_ctrl_inst|Add0~7 ; +wire \vga_ctrl_inst|Add0~8_combout ; +wire \vga_ctrl_inst|Add0~9 ; +wire \vga_ctrl_inst|Add0~11 ; +wire \vga_ctrl_inst|Add0~12_combout ; +wire \vga_ctrl_inst|Add0~13 ; +wire \vga_ctrl_inst|Add0~14_combout ; +wire \vga_ctrl_inst|Add0~4_combout ; +wire \vga_ctrl_inst|Equal0~0_combout ; +wire \vga_ctrl_inst|Add0~15 ; +wire \vga_ctrl_inst|Add0~16_combout ; +wire \vga_ctrl_inst|Add0~19 ; +wire \vga_ctrl_inst|Add0~20_combout ; +wire \vga_ctrl_inst|Add0~21 ; +wire \vga_ctrl_inst|Add0~22_combout ; +wire \vga_ctrl_inst|Equal0~1_combout ; +wire \vga_ctrl_inst|cnt_h~0_combout ; +wire \vga_ctrl_inst|Equal0~2_combout ; +wire \vga_ctrl_inst|cnt_h~2_combout ; +wire \vga_ctrl_inst|Add0~17 ; +wire \vga_ctrl_inst|Add0~18_combout ; +wire \vga_ctrl_inst|cnt_h~1_combout ; +wire \vga_ctrl_inst|LessThan4~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add4~0_combout ; +wire \vga_ctrl_inst|Add2~1_cout ; +wire \vga_ctrl_inst|Add2~3_cout ; +wire \vga_ctrl_inst|Add2~5_cout ; +wire \vga_ctrl_inst|Add2~7_cout ; +wire \vga_ctrl_inst|Add2~9_cout ; +wire \vga_ctrl_inst|Add2~11 ; +wire \vga_ctrl_inst|Add2~13 ; +wire \vga_ctrl_inst|Add2~15 ; +wire \vga_ctrl_inst|Add2~17 ; +wire \vga_ctrl_inst|Add2~18_combout ; +wire \vga_ctrl_inst|Add2~16_combout ; +wire \vga_ctrl_inst|Add2~14_combout ; +wire \vga_pic_inst|pix_data~12_combout ; +wire \vga_ctrl_inst|Add2~12_combout ; +wire \vga_ctrl_inst|pix_data_req~5_combout ; +wire \vga_ctrl_inst|Equal0~3_combout ; +wire \vga_ctrl_inst|cnt_v[7]~7_combout ; +wire \vga_ctrl_inst|cnt_v[5]~10_combout ; +wire \vga_ctrl_inst|cnt_v[8]~6_combout ; +wire \vga_ctrl_inst|always1~0_combout ; +wire \vga_ctrl_inst|cnt_v[1]~1_combout ; +wire \vga_ctrl_inst|cnt_v[4]~5_combout ; +wire \vga_ctrl_inst|always1~1_combout ; +wire \vga_ctrl_inst|Add1~0_combout ; +wire \vga_ctrl_inst|cnt_v[0]~2_combout ; +wire \vga_ctrl_inst|cnt_v[3]~3_combout ; +wire \vga_ctrl_inst|always1~2_combout ; +wire \vga_ctrl_inst|cnt_v[11]~0_combout ; +wire \vga_ctrl_inst|cnt_v[9]~9_combout ; +wire \vga_ctrl_inst|cnt_v[6]~8_combout ; +wire \vga_ctrl_inst|Add1~1 ; +wire \vga_ctrl_inst|Add1~3 ; +wire \vga_ctrl_inst|Add1~4_combout ; +wire \vga_ctrl_inst|cnt_v[2]~4_combout ; +wire \vga_ctrl_inst|Add1~5 ; +wire \vga_ctrl_inst|Add1~7 ; +wire \vga_ctrl_inst|Add1~9 ; +wire \vga_ctrl_inst|Add1~11 ; +wire \vga_ctrl_inst|Add1~13 ; +wire \vga_ctrl_inst|Add1~15 ; +wire \vga_ctrl_inst|Add1~17 ; +wire \vga_ctrl_inst|Add1~19 ; +wire \vga_ctrl_inst|Add1~21 ; +wire \vga_ctrl_inst|Add1~22_combout ; +wire \vga_ctrl_inst|cnt_v[11]~11_combout ; +wire \vga_ctrl_inst|pix_data_req~2_combout ; +wire \vga_ctrl_inst|pix_data_req~4_combout ; +wire \vga_ctrl_inst|pix_data_req~6_combout ; +wire \vga_ctrl_inst|pix_data_req~7_combout ; +wire \vga_pic_inst|pix_data[13]~11_combout ; +wire \vga_pic_inst|always0~0_combout ; +wire \vga_pic_inst|pix_data~13_combout ; +wire \vga_pic_inst|pix_data~17_combout ; +wire \vga_pic_inst|pix_data~34_combout ; +wire \vga_pic_inst|pix_data[13]~8_combout ; +wire \vga_pic_inst|pix_data[13]~9_combout ; +wire \vga_pic_inst|pix_data[13]~10_combout ; +wire \vga_pic_inst|pix_data~18_combout ; +wire \vga_ctrl_inst|Add2~19 ; +wire \vga_ctrl_inst|Add2~20_combout ; +wire \vga_ctrl_inst|Add2~10_combout ; +wire \vga_pic_inst|LessThan17~4_combout ; +wire \vga_pic_inst|LessThan17~3_combout ; +wire \vga_pic_inst|LessThan14~0_combout ; +wire \vga_pic_inst|pix_data~19_combout ; +wire \vga_pic_inst|pix_data~20_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add6~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ; +wire \vga_ctrl_inst|LessThan6~0_combout ; +wire \vga_ctrl_inst|pix_data_req~1_combout ; +wire \vga_ctrl_inst|rgb[1]~0_combout ; +wire \vga_ctrl_inst|rgb[2]~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add14~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add19~1 ; +wire \hdmi_ctrl_inst|encode_inst0|Add19~3 ; +wire \hdmi_ctrl_inst|encode_inst0|Add19~5 ; +wire \hdmi_ctrl_inst|encode_inst0|Add19~6_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add22~1 ; +wire \hdmi_ctrl_inst|encode_inst0|Add22~3 ; +wire \hdmi_ctrl_inst|encode_inst0|Add22~5 ; +wire \hdmi_ctrl_inst|encode_inst0|Add22~6_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add19~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add22~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~9_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~10_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add19~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add12~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add22~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add14~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~13_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~14_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~15_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add4~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|de_reg1~q ; +wire \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst2|de_reg2~q ; +wire \hdmi_ctrl_inst|encode_inst0|condition_2~combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~6_combout ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[3]~14 ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ; +wire \hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ; +wire \vga_ctrl_inst|LessThan0~0_combout ; +wire \vga_ctrl_inst|LessThan0~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|c0_reg1~q ; +wire \hdmi_ctrl_inst|encode_inst2|c0_reg2~q ; +wire \hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ; +wire \vga_ctrl_inst|LessThan1~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|c1_reg1~q ; +wire \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst2|c1_reg2~q ; +wire \hdmi_ctrl_inst|encode_inst0|data_out~7_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out~3_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ; +wire \vga_pic_inst|LessThan17~2_combout ; +wire \vga_pic_inst|pix_data[9]~15_combout ; +wire \vga_pic_inst|pix_data~35_combout ; +wire \vga_pic_inst|pix_data~36_combout ; +wire \vga_pic_inst|pix_data~21_combout ; +wire \vga_pic_inst|pix_data~26_combout ; +wire \vga_ctrl_inst|pix_x[11]~0_combout ; +wire \vga_pic_inst|pix_data~27_combout ; +wire \vga_ctrl_inst|rgb[10]~2_combout ; +wire \vga_pic_inst|pix_data~29_combout ; +wire \vga_pic_inst|pix_data~30_combout ; +wire \vga_pic_inst|pix_data~31_combout ; +wire \vga_ctrl_inst|rgb[6]~4_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add5~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add14~1_combout ; +wire \vga_pic_inst|pix_data~28_combout ; +wire \vga_ctrl_inst|rgb[7]~3_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add13~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add13~1_combout ; +wire \hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add19~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add14~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~1 ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~3 ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~4_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add14~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add23~1 ; +wire \hdmi_ctrl_inst|encode_inst1|Add23~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~1 ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~3 ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~4_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~9_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~10_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~15_combout ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~5 ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~6_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add20~1 ; +wire \hdmi_ctrl_inst|encode_inst1|Add20~3 ; +wire \hdmi_ctrl_inst|encode_inst1|Add20~4_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add23~3 ; +wire \hdmi_ctrl_inst|encode_inst1|Add23~4_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~3_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~4_combout ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|condition_2~combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~11_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~12_combout ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add22~1 ; +wire \hdmi_ctrl_inst|encode_inst1|Add22~3 ; +wire \hdmi_ctrl_inst|encode_inst1|Add22~5 ; +wire \hdmi_ctrl_inst|encode_inst1|Add22~6_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[3]~14 ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ; +wire \hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out~1_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out~3_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out~7_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ; +wire \vga_pic_inst|pix_data~33_combout ; +wire \vga_ctrl_inst|rgb[13]~6_combout ; +wire \vga_pic_inst|pix_data~32_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add6~0_combout ; +wire \vga_ctrl_inst|rgb[12]~5_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add12~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add14~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add12~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add19~1 ; +wire \hdmi_ctrl_inst|encode_inst2|Add19~3 ; +wire \hdmi_ctrl_inst|encode_inst2|Add19~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~5_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~6_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~15_combout ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ; +wire \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|condition_2~combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add19~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~14_combout ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~1 ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~3 ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add23~1 ; +wire \hdmi_ctrl_inst|encode_inst2|Add23~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add20~1 ; +wire \hdmi_ctrl_inst|encode_inst2|Add20~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~7_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~8_combout ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~1 ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~3 ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~5 ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~7 ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~8_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add23~3 ; +wire \hdmi_ctrl_inst|encode_inst2|Add23~5 ; +wire \hdmi_ctrl_inst|encode_inst2|Add23~6_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add20~3 ; +wire \hdmi_ctrl_inst|encode_inst2|Add20~5 ; +wire \hdmi_ctrl_inst|encode_inst2|Add20~6_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[3]~14 ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ; +wire \hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out~7_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ; +wire \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ; +wire [9:0] \hdmi_ctrl_inst|encode_inst0|data_out ; +wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ; +wire [11:0] \vga_ctrl_inst|cnt_v ; +wire [11:0] \vga_ctrl_inst|cnt_h ; +wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s ; +wire [7:0] \hdmi_ctrl_inst|encode_inst0|data_in_reg ; +wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s ; +wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s ; +wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s ; +wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ; +wire [8:0] \hdmi_ctrl_inst|encode_inst0|q_m_reg ; +wire [3:0] \hdmi_ctrl_inst|encode_inst0|q_m_n1 ; +wire [15:0] \vga_pic_inst|pix_data ; +wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ; +wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ; +wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s ; +wire [4:0] \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk ; +wire [3:0] \hdmi_ctrl_inst|encode_inst0|data_in_n1 ; +wire [2:0] \hdmi_ctrl_inst|par_to_ser_inst0|cnt ; +wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s ; +wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ; +wire [3:0] \hdmi_ctrl_inst|encode_inst0|q_m_n0 ; +wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ; +wire [4:0] \hdmi_ctrl_inst|encode_inst0|cnt ; +wire [4:0] \hdmi_ctrl_inst|encode_inst1|cnt ; +wire [9:0] \hdmi_ctrl_inst|encode_inst1|data_out ; +wire [8:0] \hdmi_ctrl_inst|encode_inst1|q_m_reg ; +wire [3:0] \hdmi_ctrl_inst|encode_inst1|q_m_n0 ; +wire [3:0] \hdmi_ctrl_inst|encode_inst1|q_m_n1 ; +wire [7:0] \hdmi_ctrl_inst|encode_inst1|data_in_reg ; +wire [3:0] \hdmi_ctrl_inst|encode_inst1|data_in_n1 ; +wire [4:0] \hdmi_ctrl_inst|encode_inst2|cnt ; +wire [9:0] \hdmi_ctrl_inst|encode_inst2|data_out ; +wire [8:0] \hdmi_ctrl_inst|encode_inst2|q_m_reg ; +wire [3:0] \hdmi_ctrl_inst|encode_inst2|q_m_n0 ; +wire [3:0] \hdmi_ctrl_inst|encode_inst2|q_m_n1 ; +wire [7:0] \hdmi_ctrl_inst|encode_inst2|data_in_reg ; +wire [3:0] \hdmi_ctrl_inst|encode_inst2|data_in_n1 ; +wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ; +wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s ; +wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s ; +wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ; + +wire [4:0] \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ; + +assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [0]; +assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [1] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [1]; +assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [2] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [2]; +assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [3] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [3]; +assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [4] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [4]; + +// Location: PLL_2 +cycloneive_pll \clk_gen_inst|altpll_component|auto_generated|pll1 ( + .areset(!\sys_rst_n~input_o ), + .pfdena(vcc), + .fbin(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ), + .phaseupdown(gnd), + .phasestep(gnd), + .scandata(gnd), + .scanclk(gnd), + .scanclkena(vcc), + .configupdate(gnd), + .clkswitch(gnd), + .inclk({gnd,\sys_clk~input_o }), + .phasecounterselect(3'b000), + .phasedone(), + .scandataout(), + .scandone(), + .activeclock(), + .locked(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ), + .vcooverrange(), + .vcounderrange(), + .fbout(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ), + .clk(\clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ), + .clkbad()); +// synopsys translate_off +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .auto_settings = "false"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .bandwidth_type = "medium"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_high = 10; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_initial = 1; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_low = 10; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_mode = "even"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_ph = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_high = 2; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_initial = 1; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_low = 2; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_mode = "even"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_ph = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_use_casc_in = "off"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_high = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_initial = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_low = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_mode = "bypass"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_ph = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_use_casc_in = "off"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_high = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_initial = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_low = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_mode = "bypass"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_ph = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_use_casc_in = "off"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_high = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_initial = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_low = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_mode = "bypass"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_ph = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_use_casc_in = "off"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .charge_pump_current_bits = 1; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_counter = "c0"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_divide_by = 2; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_duty_cycle = 50; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_multiply_by = 1; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_phase_shift = "0"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_counter = "c1"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_divide_by = 2; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_duty_cycle = 50; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_multiply_by = 5; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_phase_shift = "0"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_counter = "unused"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_divide_by = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_duty_cycle = 50; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_multiply_by = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_phase_shift = "0"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_counter = "unused"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_divide_by = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_duty_cycle = 50; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_multiply_by = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_phase_shift = "0"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_counter = "unused"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_divide_by = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_duty_cycle = 50; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_multiply_by = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_phase_shift = "0"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .compensate_clock = "clock0"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk0_input_frequency = 20000; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk1_input_frequency = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_c_bits = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_r_bits = 27; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m = 10; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_initial = 1; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_ph = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .n = 1; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .operation_mode = "normal"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_max = 200000; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_min = 3076; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pll_compensation_delay = 5989; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .self_reset_on_loss_lock = "off"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .simulation_type = "timing"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .switch_over_type = "auto"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_center = 1538; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_divide_by = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_frequency_control = "auto"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_max = 3333; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_min = 1538; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_multiply_by = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_phase_shift_step = 250; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_post_scale = 2; +// synopsys translate_on + +// Location: FF_X40_Y21_N11 +dffeas \hdmi_ctrl_inst|encode_inst1|data_out[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_out [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[0] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X38_Y22_N7 +dffeas \hdmi_ctrl_inst|encode_inst0|cnt[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|cnt [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[0] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X38_Y22_N9 +dffeas \hdmi_ctrl_inst|encode_inst0|cnt[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|cnt [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[1] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X38_Y22_N11 +dffeas \hdmi_ctrl_inst|encode_inst0|cnt[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|cnt [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[2] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y22_N11 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[3] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y23_N11 +dffeas \hdmi_ctrl_inst|encode_inst1|data_out[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_out [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[2] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y23_N13 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (GND))) +// \hdmi_ctrl_inst|encode_inst0|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1])) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add20~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add20~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add20~0 .lut_mask = 16'h66BB; +defparam \hdmi_ctrl_inst|encode_inst0|Add20~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst0|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & +// ((\hdmi_ctrl_inst|encode_inst0|Add20~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|Add20~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & +// (!\hdmi_ctrl_inst|encode_inst0|Add20~1 )))) +// \hdmi_ctrl_inst|encode_inst0|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((!\hdmi_ctrl_inst|encode_inst0|Add20~1 ) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & +// (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst0|Add20~1 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add20~1 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add20~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add20~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add20~2 .lut_mask = 16'h692B; +defparam \hdmi_ctrl_inst|encode_inst0|Add20~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst0|Add20~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst0|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst0|Add20~3 )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add20~3 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add20~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add20~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add20~4 .lut_mask = 16'h5AAF; +defparam \hdmi_ctrl_inst|encode_inst0|Add20~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst0|Add20~5 + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst0|Add20~5 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add20~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add20~6 .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst0|Add20~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] & VCC)) +// \hdmi_ctrl_inst|encode_inst0|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [0] & \hdmi_ctrl_inst|encode_inst0|q_m_n1 [0])) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [0]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add17~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add17~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst0|Add17~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & +// (!\hdmi_ctrl_inst|encode_inst0|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (!\hdmi_ctrl_inst|encode_inst0|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & ((\hdmi_ctrl_inst|encode_inst0|Add17~1 +// ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst0|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & !\hdmi_ctrl_inst|encode_inst0|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & +// ((!\hdmi_ctrl_inst|encode_inst0|Add17~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add17~1 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add17~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add17~2 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst0|Add17~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] $ (\hdmi_ctrl_inst|encode_inst0|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst0|Add17~3 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst0|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|cnt +// [2] & !\hdmi_ctrl_inst|encode_inst0|Add17~3 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add17~3 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add17~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add17~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add17~4 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst0|Add17~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((\hdmi_ctrl_inst|encode_inst0|Add17~5 ) # (GND))) +// \hdmi_ctrl_inst|encode_inst0|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst0|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3])) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [3]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add17~5 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add17~6_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add17~7 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add17~6 .lut_mask = 16'h3C3F; +defparam \hdmi_ctrl_inst|encode_inst0|Add17~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add17~8_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst0|Add17~7 ) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst0|Add17~7 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add17~8 .lut_mask = 16'hC3C3; +defparam \hdmi_ctrl_inst|encode_inst0|Add17~8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]) # (GND))) +// \hdmi_ctrl_inst|encode_inst0|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add23~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add23~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add23~0 .lut_mask = 16'h66DD; +defparam \hdmi_ctrl_inst|encode_inst0|Add23~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst0|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & +// (\hdmi_ctrl_inst|encode_inst0|Add23~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst0|Add23~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & +// (!\hdmi_ctrl_inst|encode_inst0|Add23~1 )))) +// \hdmi_ctrl_inst|encode_inst0|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst0|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & +// ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add23~1 )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add23~1 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add23~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add23~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add23~2 .lut_mask = 16'h694D; +defparam \hdmi_ctrl_inst|encode_inst0|Add23~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst0|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst0|Add23~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst0|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst0|Add23~3 )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add23~3 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add23~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add23~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add23~4 .lut_mask = 16'h5A05; +defparam \hdmi_ctrl_inst|encode_inst0|Add23~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst0|Add23~5 + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst0|Add23~5 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add23~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add23~6 .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst0|Add23~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] & VCC)) +// \hdmi_ctrl_inst|encode_inst0|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [0] & \hdmi_ctrl_inst|encode_inst0|q_m_n1 [0])) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [0]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add15~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add15~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add15~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst0|Add15~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & +// (!\hdmi_ctrl_inst|encode_inst0|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (!\hdmi_ctrl_inst|encode_inst0|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & ((\hdmi_ctrl_inst|encode_inst0|Add15~1 +// ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst0|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & !\hdmi_ctrl_inst|encode_inst0|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & +// ((!\hdmi_ctrl_inst|encode_inst0|Add15~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add15~1 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add15~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add15~2 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst0|Add15~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] $ (\hdmi_ctrl_inst|encode_inst0|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst0|Add15~3 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst0|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst0|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|cnt +// [2] & !\hdmi_ctrl_inst|encode_inst0|Add15~3 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add15~3 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add15~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add15~4 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst0|Add15~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & +// (!\hdmi_ctrl_inst|encode_inst0|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((\hdmi_ctrl_inst|encode_inst0|Add15~5 +// ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst0|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & !\hdmi_ctrl_inst|encode_inst0|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & +// ((!\hdmi_ctrl_inst|encode_inst0|Add15~5 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3])))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [3]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add15~5 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add15~7 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add15~6 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst0|Add15~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add15~8_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst0|Add15~7 ) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst0|Add15~7 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add15~8 .lut_mask = 16'hC3C3; +defparam \hdmi_ctrl_inst|encode_inst0|Add15~8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add19~3 & VCC)) +// \hdmi_ctrl_inst|encode_inst0|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [3] & !\hdmi_ctrl_inst|encode_inst0|Add19~3 )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add19~3 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add19~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add19~4 .lut_mask = 16'hA50A; +defparam \hdmi_ctrl_inst|encode_inst0|Add19~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add22~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst0|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst0|Add22~3 )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add22~3 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add22~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add22~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add22~4 .lut_mask = 16'h5AAF; +defparam \hdmi_ctrl_inst|encode_inst0|Add22~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & (\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & +// (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & +// ((\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst0|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & +// ((!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~16_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add16~15_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ), + .combout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst0|Add16~12_combout $ (\hdmi_ctrl_inst|encode_inst0|Add16~14_combout $ (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst0|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~12_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ) # (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~12_combout & +// (\hdmi_ctrl_inst|encode_inst0|Add16~14_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~12_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ), + .combout(\hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & (\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & +// (!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & +// ((\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst0|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & +// ((!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~8_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add16~10_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ), + .combout(\hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X40_Y22_N31 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] $ (GND))) +// \hdmi_ctrl_inst|encode_inst1|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add20~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add20~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add20~0 .lut_mask = 16'h66BB; +defparam \hdmi_ctrl_inst|encode_inst1|Add20~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst1|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & +// ((\hdmi_ctrl_inst|encode_inst1|Add20~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|Add20~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & +// (!\hdmi_ctrl_inst|encode_inst1|Add20~1 )))) +// \hdmi_ctrl_inst|encode_inst1|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((!\hdmi_ctrl_inst|encode_inst1|Add20~1 ) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & +// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst1|Add20~1 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add20~1 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add20~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add20~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add20~2 .lut_mask = 16'h692B; +defparam \hdmi_ctrl_inst|encode_inst1|Add20~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst1|Add20~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst1|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst1|Add20~3 )) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add20~3 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add20~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add20~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add20~4 .lut_mask = 16'h3CCF; +defparam \hdmi_ctrl_inst|encode_inst1|Add20~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst1|Add20~5 + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst1|Add20~5 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add20~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add20~6 .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst1|Add20~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [0] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [0] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & VCC)) +// \hdmi_ctrl_inst|encode_inst1|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [0] & \hdmi_ctrl_inst|encode_inst1|q_m_n1 [0])) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [0]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add17~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add17~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add17~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst1|Add17~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst1|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & +// (!\hdmi_ctrl_inst|encode_inst1|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst1|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & +// ((\hdmi_ctrl_inst|encode_inst1|Add17~1 ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst1|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst1|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((!\hdmi_ctrl_inst|encode_inst1|Add17~1 ) +// # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [1]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add17~1 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add17~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add17~2 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst1|Add17~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst1|cnt [2] $ (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst1|Add17~3 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst1|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 +// [2] & !\hdmi_ctrl_inst|encode_inst1|Add17~3 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [2]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add17~3 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add17~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add17~4 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst1|Add17~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|Add17~5 ) # (GND))) +// \hdmi_ctrl_inst|encode_inst1|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst1|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3])) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add17~5 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add17~6_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add17~7 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add17~6 .lut_mask = 16'h5A5F; +defparam \hdmi_ctrl_inst|encode_inst1|Add17~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add17~8_combout = \hdmi_ctrl_inst|encode_inst1|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst1|Add17~7 ) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [4]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst1|Add17~7 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add17~8 .lut_mask = 16'hA5A5; +defparam \hdmi_ctrl_inst|encode_inst1|Add17~8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]) # (GND))) +// \hdmi_ctrl_inst|encode_inst1|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1])) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add23~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add23~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add23~0 .lut_mask = 16'h66DD; +defparam \hdmi_ctrl_inst|encode_inst1|Add23~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst1|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst1|Add23~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst1|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst1|Add23~3 )) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add23~3 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add23~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add23~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add23~4 .lut_mask = 16'h3C03; +defparam \hdmi_ctrl_inst|encode_inst1|Add23~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst1|Add23~5 + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst1|Add23~5 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add23~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add23~6 .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst1|Add23~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst1|cnt [1] & (\hdmi_ctrl_inst|encode_inst1|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & +// (!\hdmi_ctrl_inst|encode_inst1|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|Add15~1 +// ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst1|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & !\hdmi_ctrl_inst|encode_inst1|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & +// ((!\hdmi_ctrl_inst|encode_inst1|Add15~1 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst1|cnt [1]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add15~1 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add15~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add15~2 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst1|Add15~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst1|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & +// (!\hdmi_ctrl_inst|encode_inst1|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst1|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & +// ((\hdmi_ctrl_inst|encode_inst1|Add15~5 ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst1|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst1|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((!\hdmi_ctrl_inst|encode_inst1|Add15~5 ) +// # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3])))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add15~5 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add15~7 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add15~6 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst1|Add15~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add15~8_combout = \hdmi_ctrl_inst|encode_inst1|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst1|Add15~7 ) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [4]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst1|Add15~7 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add15~8 .lut_mask = 16'hA5A5; +defparam \hdmi_ctrl_inst|encode_inst1|Add15~8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] & VCC)) +// \hdmi_ctrl_inst|encode_inst1|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & \hdmi_ctrl_inst|encode_inst1|cnt [1])) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .datab(\hdmi_ctrl_inst|encode_inst1|cnt [1]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add19~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add19~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add19~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst1|Add19~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [2] & (!\hdmi_ctrl_inst|encode_inst1|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|Add19~1 ) # (GND))) +// \hdmi_ctrl_inst|encode_inst1|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst1|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2])) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [2]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add19~1 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add19~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add19~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add19~2 .lut_mask = 16'h5A5F; +defparam \hdmi_ctrl_inst|encode_inst1|Add19~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & (\hdmi_ctrl_inst|encode_inst1|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|Add19~3 & VCC)) +// \hdmi_ctrl_inst|encode_inst1|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [3] & !\hdmi_ctrl_inst|encode_inst1|Add19~3 )) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add19~3 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add19~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add19~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add19~4 .lut_mask = 16'hA50A; +defparam \hdmi_ctrl_inst|encode_inst1|Add19~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add19~6_combout = \hdmi_ctrl_inst|encode_inst1|Add19~5 $ (\hdmi_ctrl_inst|encode_inst1|cnt [4]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]), + .cin(\hdmi_ctrl_inst|encode_inst1|Add19~5 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add19~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add19~6 .lut_mask = 16'h0FF0; +defparam \hdmi_ctrl_inst|encode_inst1|Add19~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & ((\hdmi_ctrl_inst|encode_inst1|cnt [1]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] $ (VCC))) +// \hdmi_ctrl_inst|encode_inst1|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]) # (\hdmi_ctrl_inst|encode_inst1|cnt [1])) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .datab(\hdmi_ctrl_inst|encode_inst1|cnt [1]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add22~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add22~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add22~0 .lut_mask = 16'h99EE; +defparam \hdmi_ctrl_inst|encode_inst1|Add22~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & (!\hdmi_ctrl_inst|encode_inst1|Add22~1 )) +// \hdmi_ctrl_inst|encode_inst1|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst1|cnt [2] & !\hdmi_ctrl_inst|encode_inst1|Add22~1 )) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [2]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add22~1 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add22~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add22~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add22~2 .lut_mask = 16'hA505; +defparam \hdmi_ctrl_inst|encode_inst1|Add22~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & (\hdmi_ctrl_inst|encode_inst1|Add22~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst1|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst1|Add22~3 )) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add22~3 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add22~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add22~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add22~4 .lut_mask = 16'h5AAF; +defparam \hdmi_ctrl_inst|encode_inst1|Add22~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X40_Y23_N7 +dffeas \hdmi_ctrl_inst|encode_inst1|data_out[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_out [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] $ (GND))) +// \hdmi_ctrl_inst|encode_inst2|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1])) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add20~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add20~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add20~0 .lut_mask = 16'h66BB; +defparam \hdmi_ctrl_inst|encode_inst2|Add20~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst2|Add20~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst2|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst2|Add20~3 )) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add20~3 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add20~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add20~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add20~4 .lut_mask = 16'h3CCF; +defparam \hdmi_ctrl_inst|encode_inst2|Add20~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [0] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [0] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & VCC)) +// \hdmi_ctrl_inst|encode_inst2|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [0] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [0])) + + .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [0]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add17~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add17~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add17~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst2|Add17~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & +// (!\hdmi_ctrl_inst|encode_inst2|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (!\hdmi_ctrl_inst|encode_inst2|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|Add17~1 +// ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst2|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & !\hdmi_ctrl_inst|encode_inst2|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & +// ((!\hdmi_ctrl_inst|encode_inst2|Add17~1 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [1]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add17~1 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add17~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add17~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add17~2 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst2|Add17~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] $ (\hdmi_ctrl_inst|encode_inst2|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst2|Add17~3 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst2|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst2|cnt +// [2] & !\hdmi_ctrl_inst|encode_inst2|Add17~3 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add17~3 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add17~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add17~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add17~4 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst2|Add17~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((\hdmi_ctrl_inst|encode_inst2|Add17~5 ) # (GND))) +// \hdmi_ctrl_inst|encode_inst2|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst2|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3])) + + .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add17~5 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add17~6_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add17~7 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add17~6 .lut_mask = 16'h5A5F; +defparam \hdmi_ctrl_inst|encode_inst2|Add17~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]) # (GND))) +// \hdmi_ctrl_inst|encode_inst2|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1])) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add23~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add23~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add23~0 .lut_mask = 16'h66DD; +defparam \hdmi_ctrl_inst|encode_inst2|Add23~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst2|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst2|Add23~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst2|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst2|Add23~3 )) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add23~3 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add23~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add23~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add23~4 .lut_mask = 16'h3C03; +defparam \hdmi_ctrl_inst|encode_inst2|Add23~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & +// (!\hdmi_ctrl_inst|encode_inst2|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (!\hdmi_ctrl_inst|encode_inst2|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|Add15~1 +// ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst2|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & !\hdmi_ctrl_inst|encode_inst2|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & +// ((!\hdmi_ctrl_inst|encode_inst2|Add15~1 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [1]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add15~1 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add15~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add15~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add15~2 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst2|Add15~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst2|cnt [2] $ (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst2|Add15~3 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst2|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 +// [2] & !\hdmi_ctrl_inst|encode_inst2|Add15~3 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [2]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add15~3 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add15~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add15~4 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst2|Add15~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & +// (!\hdmi_ctrl_inst|encode_inst2|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((\hdmi_ctrl_inst|encode_inst2|Add15~5 +// ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst2|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & !\hdmi_ctrl_inst|encode_inst2|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & +// ((!\hdmi_ctrl_inst|encode_inst2|Add15~5 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3])))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [3]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add15~5 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add15~6_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add15~7 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add15~6 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst2|Add15~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add15~8_combout = \hdmi_ctrl_inst|encode_inst2|Add15~7 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]), + .cin(\hdmi_ctrl_inst|encode_inst2|Add15~7 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add15~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add15~8 .lut_mask = 16'hF00F; +defparam \hdmi_ctrl_inst|encode_inst2|Add15~8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [2] & (!\hdmi_ctrl_inst|encode_inst2|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & ((\hdmi_ctrl_inst|encode_inst2|Add19~1 ) # (GND))) +// \hdmi_ctrl_inst|encode_inst2|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst2|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2])) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add19~1 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add19~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add19~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add19~2 .lut_mask = 16'h3C3F; +defparam \hdmi_ctrl_inst|encode_inst2|Add19~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add19~3 & VCC)) +// \hdmi_ctrl_inst|encode_inst2|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [3] & !\hdmi_ctrl_inst|encode_inst2|Add19~3 )) + + .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add19~3 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add19~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add19~4 .lut_mask = 16'hA50A; +defparam \hdmi_ctrl_inst|encode_inst2|Add19~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add19~6_combout = \hdmi_ctrl_inst|encode_inst2|Add19~5 $ (\hdmi_ctrl_inst|encode_inst2|cnt [4]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]), + .cin(\hdmi_ctrl_inst|encode_inst2|Add19~5 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add19~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add19~6 .lut_mask = 16'h0FF0; +defparam \hdmi_ctrl_inst|encode_inst2|Add19~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] $ (VCC))) +// \hdmi_ctrl_inst|encode_inst2|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [1]) # (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) + + .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add22~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add22~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add22~0 .lut_mask = 16'h99EE; +defparam \hdmi_ctrl_inst|encode_inst2|Add22~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & (!\hdmi_ctrl_inst|encode_inst2|Add22~1 )) +// \hdmi_ctrl_inst|encode_inst2|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst2|cnt [2] & !\hdmi_ctrl_inst|encode_inst2|Add22~1 )) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add22~1 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add22~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add22~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add22~2 .lut_mask = 16'hC303; +defparam \hdmi_ctrl_inst|encode_inst2|Add22~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add22~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst2|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst2|Add22~3 )) + + .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add22~3 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add22~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add22~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add22~4 .lut_mask = 16'h5AAF; +defparam \hdmi_ctrl_inst|encode_inst2|Add22~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add22~6_combout = \hdmi_ctrl_inst|encode_inst2|Add22~5 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]), + .cin(\hdmi_ctrl_inst|encode_inst2|Add22~5 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add22~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add22~6 .lut_mask = 16'hF00F; +defparam \hdmi_ctrl_inst|encode_inst2|Add22~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X40_Y24_N11 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[4] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X39_Y22_N19 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[6] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [6]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[6] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[6] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y21_N7 +dffeas \hdmi_ctrl_inst|encode_inst1|data_out[7] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_out [7]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[7] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[7] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N10 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~2 ( +// Equation(s): +// \vga_ctrl_inst|Add0~2_combout = (\vga_ctrl_inst|cnt_h [1] & (!\vga_ctrl_inst|Add0~1 )) # (!\vga_ctrl_inst|cnt_h [1] & ((\vga_ctrl_inst|Add0~1 ) # (GND))) +// \vga_ctrl_inst|Add0~3 = CARRY((!\vga_ctrl_inst|Add0~1 ) # (!\vga_ctrl_inst|cnt_h [1])) + + .dataa(\vga_ctrl_inst|cnt_h [1]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~1 ), + .combout(\vga_ctrl_inst|Add0~2_combout ), + .cout(\vga_ctrl_inst|Add0~3 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~2 .lut_mask = 16'h5A5F; +defparam \vga_ctrl_inst|Add0~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N18 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~10 ( +// Equation(s): +// \vga_ctrl_inst|Add0~10_combout = (\vga_ctrl_inst|cnt_h [5] & (!\vga_ctrl_inst|Add0~9 )) # (!\vga_ctrl_inst|cnt_h [5] & ((\vga_ctrl_inst|Add0~9 ) # (GND))) +// \vga_ctrl_inst|Add0~11 = CARRY((!\vga_ctrl_inst|Add0~9 ) # (!\vga_ctrl_inst|cnt_h [5])) + + .dataa(\vga_ctrl_inst|cnt_h [5]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~9 ), + .combout(\vga_ctrl_inst|Add0~10_combout ), + .cout(\vga_ctrl_inst|Add0~11 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~10 .lut_mask = 16'h5A5F; +defparam \vga_ctrl_inst|Add0~10 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N4 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~2 ( +// Equation(s): +// \vga_ctrl_inst|Add1~2_combout = (\vga_ctrl_inst|cnt_v [1] & (!\vga_ctrl_inst|Add1~1 )) # (!\vga_ctrl_inst|cnt_v [1] & ((\vga_ctrl_inst|Add1~1 ) # (GND))) +// \vga_ctrl_inst|Add1~3 = CARRY((!\vga_ctrl_inst|Add1~1 ) # (!\vga_ctrl_inst|cnt_v [1])) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_v [1]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~1 ), + .combout(\vga_ctrl_inst|Add1~2_combout ), + .cout(\vga_ctrl_inst|Add1~3 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~2 .lut_mask = 16'h3C3F; +defparam \vga_ctrl_inst|Add1~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N8 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~6 ( +// Equation(s): +// \vga_ctrl_inst|Add1~6_combout = (\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|Add1~5 )) # (!\vga_ctrl_inst|cnt_v [3] & ((\vga_ctrl_inst|Add1~5 ) # (GND))) +// \vga_ctrl_inst|Add1~7 = CARRY((!\vga_ctrl_inst|Add1~5 ) # (!\vga_ctrl_inst|cnt_v [3])) + + .dataa(\vga_ctrl_inst|cnt_v [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~5 ), + .combout(\vga_ctrl_inst|Add1~6_combout ), + .cout(\vga_ctrl_inst|Add1~7 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~6 .lut_mask = 16'h5A5F; +defparam \vga_ctrl_inst|Add1~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N10 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~8 ( +// Equation(s): +// \vga_ctrl_inst|Add1~8_combout = (\vga_ctrl_inst|cnt_v [4] & (\vga_ctrl_inst|Add1~7 $ (GND))) # (!\vga_ctrl_inst|cnt_v [4] & (!\vga_ctrl_inst|Add1~7 & VCC)) +// \vga_ctrl_inst|Add1~9 = CARRY((\vga_ctrl_inst|cnt_v [4] & !\vga_ctrl_inst|Add1~7 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_v [4]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~7 ), + .combout(\vga_ctrl_inst|Add1~8_combout ), + .cout(\vga_ctrl_inst|Add1~9 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~8 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add1~8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N12 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~10 ( +// Equation(s): +// \vga_ctrl_inst|Add1~10_combout = (\vga_ctrl_inst|cnt_v [5] & (!\vga_ctrl_inst|Add1~9 )) # (!\vga_ctrl_inst|cnt_v [5] & ((\vga_ctrl_inst|Add1~9 ) # (GND))) +// \vga_ctrl_inst|Add1~11 = CARRY((!\vga_ctrl_inst|Add1~9 ) # (!\vga_ctrl_inst|cnt_v [5])) + + .dataa(\vga_ctrl_inst|cnt_v [5]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~9 ), + .combout(\vga_ctrl_inst|Add1~10_combout ), + .cout(\vga_ctrl_inst|Add1~11 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~10 .lut_mask = 16'h5A5F; +defparam \vga_ctrl_inst|Add1~10 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N14 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~12 ( +// Equation(s): +// \vga_ctrl_inst|Add1~12_combout = (\vga_ctrl_inst|cnt_v [6] & (\vga_ctrl_inst|Add1~11 $ (GND))) # (!\vga_ctrl_inst|cnt_v [6] & (!\vga_ctrl_inst|Add1~11 & VCC)) +// \vga_ctrl_inst|Add1~13 = CARRY((\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|Add1~11 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_v [6]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~11 ), + .combout(\vga_ctrl_inst|Add1~12_combout ), + .cout(\vga_ctrl_inst|Add1~13 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~12 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add1~12 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N16 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~14 ( +// Equation(s): +// \vga_ctrl_inst|Add1~14_combout = (\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|Add1~13 )) # (!\vga_ctrl_inst|cnt_v [7] & ((\vga_ctrl_inst|Add1~13 ) # (GND))) +// \vga_ctrl_inst|Add1~15 = CARRY((!\vga_ctrl_inst|Add1~13 ) # (!\vga_ctrl_inst|cnt_v [7])) + + .dataa(\vga_ctrl_inst|cnt_v [7]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~13 ), + .combout(\vga_ctrl_inst|Add1~14_combout ), + .cout(\vga_ctrl_inst|Add1~15 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~14 .lut_mask = 16'h5A5F; +defparam \vga_ctrl_inst|Add1~14 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N18 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~16 ( +// Equation(s): +// \vga_ctrl_inst|Add1~16_combout = (\vga_ctrl_inst|cnt_v [8] & (\vga_ctrl_inst|Add1~15 $ (GND))) # (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|Add1~15 & VCC)) +// \vga_ctrl_inst|Add1~17 = CARRY((\vga_ctrl_inst|cnt_v [8] & !\vga_ctrl_inst|Add1~15 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_v [8]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~15 ), + .combout(\vga_ctrl_inst|Add1~16_combout ), + .cout(\vga_ctrl_inst|Add1~17 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~16 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add1~16 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N20 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~18 ( +// Equation(s): +// \vga_ctrl_inst|Add1~18_combout = (\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|Add1~17 )) # (!\vga_ctrl_inst|cnt_v [9] & ((\vga_ctrl_inst|Add1~17 ) # (GND))) +// \vga_ctrl_inst|Add1~19 = CARRY((!\vga_ctrl_inst|Add1~17 ) # (!\vga_ctrl_inst|cnt_v [9])) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_v [9]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~17 ), + .combout(\vga_ctrl_inst|Add1~18_combout ), + .cout(\vga_ctrl_inst|Add1~19 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~18 .lut_mask = 16'h3C3F; +defparam \vga_ctrl_inst|Add1~18 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N22 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~20 ( +// Equation(s): +// \vga_ctrl_inst|Add1~20_combout = (\vga_ctrl_inst|cnt_v [10] & (\vga_ctrl_inst|Add1~19 $ (GND))) # (!\vga_ctrl_inst|cnt_v [10] & (!\vga_ctrl_inst|Add1~19 & VCC)) +// \vga_ctrl_inst|Add1~21 = CARRY((\vga_ctrl_inst|cnt_v [10] & !\vga_ctrl_inst|Add1~19 )) + + .dataa(\vga_ctrl_inst|cnt_v [10]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~19 ), + .combout(\vga_ctrl_inst|Add1~20_combout ), + .cout(\vga_ctrl_inst|Add1~21 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~20 .lut_mask = 16'hA50A; +defparam \vga_ctrl_inst|Add1~20 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X40_Y20_N11 +dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y20_N15 +dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 .lut_mask = 16'h00F0; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N15 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|Add12~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) # +// (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|condition_3~1 .lut_mask = 16'h0A8E; +defparam \hdmi_ctrl_inst|encode_inst0|condition_3~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal1~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst0|cnt [4] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & !\hdmi_ctrl_inst|encode_inst0|cnt [0]))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [4]), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]), + .datac(\hdmi_ctrl_inst|encode_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|encode_inst0|cnt [0]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Equal1~0 .lut_mask = 16'h0001; +defparam \hdmi_ctrl_inst|encode_inst0|Equal1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N27 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X39_Y24_N19 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|Add14~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))) # +// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]) # ((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|condition_3~1 .lut_mask = 16'h4F04; +defparam \hdmi_ctrl_inst|encode_inst2|condition_3~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N31 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out~3_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]) # (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 .lut_mask = 16'hFFF0; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ) # (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add23~6_combout & ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add23~6_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~0 .lut_mask = 16'hCCE2; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ) # ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~0_combout +// & (((\hdmi_ctrl_inst|encode_inst0|Add20~6_combout & \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|Add20~6_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~1 .lut_mask = 16'hD8AA; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~3 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & +// ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|Add17~6_combout ))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add23~4_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add23~4_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|Add17~6_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~3 .lut_mask = 16'hF2C2; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~3_combout & (((\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~3_combout +// & (\hdmi_ctrl_inst|encode_inst0|Add20~4_combout & ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add20~4_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|Add16~3_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~4 .lut_mask = 16'hCAF0; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~5 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~5_combout = (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ))) # +// (!\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst0|Add22~4_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|Add22~4_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~5 .lut_mask = 16'h3210; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst0|Add20~2_combout )) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add23~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add20~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add23~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~7_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~7 .lut_mask = 16'hFA0C; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~7_combout & ((\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ) # ((!\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~7_combout +// & (((\hdmi_ctrl_inst|encode_inst0|Add17~4_combout & \hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~7_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|Add17~4_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~8 .lut_mask = 16'hD8AA; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~11 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ) # ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|Add23~0_combout & !\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add23~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~11_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~11 .lut_mask = 16'hF0AC; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~11 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~12 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~11_combout & ((\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ) # ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst0|Add16~11_combout & (((\hdmi_ctrl_inst|encode_inst0|Add20~0_combout & \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~11_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|Add20~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~12_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~12 .lut_mask = 16'hD8AA; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~12 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~16 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|cnt [0]))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]), + .datad(\hdmi_ctrl_inst|encode_inst0|cnt [0]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~16_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~16 .lut_mask = 16'h5F0A; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~16 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal1~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Equal1~1_combout = (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & \hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst0|cnt [3]), + .datad(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Equal1~1 .lut_mask = 16'h0F00; +defparam \hdmi_ctrl_inst|encode_inst0|Equal1~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add12~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add12~1_combout = (!\hdmi_ctrl_inst|encode_inst0|data_in_reg [4] & \hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add12~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add12~1 .lut_mask = 16'h3300; +defparam \hdmi_ctrl_inst|encode_inst0|Add12~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N7 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out~2_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [3]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out~2 .lut_mask = 16'h995A; +defparam \hdmi_ctrl_inst|encode_inst0|data_out~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N15 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3]))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [4]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 .lut_mask = 16'hACAC; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ) # (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~6_combout & ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add23~6_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~0 .lut_mask = 16'hAAE4; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~0_combout & ((\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ) # ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~0_combout +// & (((\hdmi_ctrl_inst|encode_inst1|Add20~6_combout & \hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add20~6_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|Add16~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~1 .lut_mask = 16'hACF0; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~5 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~5_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3])))) # +// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add22~4_combout & (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add22~4_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~5 .lut_mask = 16'hA4AE; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & \hdmi_ctrl_inst|encode_inst1|Add19~4_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add19~4_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~6 .lut_mask = 16'hF8F8; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & +// ((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add22~2_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add22~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~7_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~7 .lut_mask = 16'hAF44; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~7_combout & (((!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~7_combout & +// (\hdmi_ctrl_inst|encode_inst1|Add19~2_combout & (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add19~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add16~7_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~8 .lut_mask = 16'h2CEC; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~13 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ) # (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~0_combout & ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add23~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~13_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~13 .lut_mask = 16'hF0CA; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~13 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~14 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~13_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst1|Add16~13_combout & (\hdmi_ctrl_inst|encode_inst1|Add20~0_combout & ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add20~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add16~13_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~14_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~14 .lut_mask = 16'hE2CC; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~14 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~16 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|cnt [0]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]), + .datad(\hdmi_ctrl_inst|encode_inst1|cnt [0]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~16_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~16 .lut_mask = 16'h3F0C; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~16 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal2~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Equal2~1_combout = (\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Equal2~1 .lut_mask = 16'h00AA; +defparam \hdmi_ctrl_inst|encode_inst1|Equal2~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N31 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X37_Y21_N1 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out~2_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [2] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [2]), + .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out~2 .lut_mask = 16'hA53C; +defparam \hdmi_ctrl_inst|encode_inst1|data_out~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst2|Add19~6_combout )) # +// (!\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst2|Add22~6_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add19~6_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add22~6_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~2 .lut_mask = 16'hFAFC; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~3 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & +// ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add17~6_combout )) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add23~4_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add17~6_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|Add23~4_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~3 .lut_mask = 16'hE3E0; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~3_combout & ((\hdmi_ctrl_inst|encode_inst2|Add15~6_combout ) # ((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~3_combout +// & (((\hdmi_ctrl_inst|encode_inst2|Add20~4_combout & \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add15~6_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add20~4_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|Add16~3_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~4 .lut_mask = 16'hACF0; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~9 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2])))) # +// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add22~2_combout & ((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add22~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~9_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~9 .lut_mask = 16'hAA4E; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~9 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~10 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~9_combout & (((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2])))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~9_combout & +// (\hdmi_ctrl_inst|encode_inst2|Add19~2_combout & ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add19~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]), + .datac(\hdmi_ctrl_inst|encode_inst2|Add16~9_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~10_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~10 .lut_mask = 16'h3AF0; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~10 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~11 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|Add17~2_combout ) # (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add23~0_combout & ((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add23~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add17~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~11_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~11 .lut_mask = 16'hF0CA; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~11 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~12 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~11_combout & (((\hdmi_ctrl_inst|encode_inst2|Add15~2_combout ) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst2|Add16~11_combout & (\hdmi_ctrl_inst|encode_inst2|Add20~0_combout & ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add20~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add15~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|Add16~11_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~12_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~12 .lut_mask = 16'hCAF0; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~12 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~13 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]))) # +// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|Add22~0_combout & !\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]), + .datac(\hdmi_ctrl_inst|encode_inst2|Add22~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~13_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~13 .lut_mask = 16'hAA72; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~13 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~16 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|cnt [0])) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [0]), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~16_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~16 .lut_mask = 16'h0FCC; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~16 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal2~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Equal2~1_combout = (\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Equal2~1 .lut_mask = 16'h00CC; +defparam \hdmi_ctrl_inst|encode_inst2|Equal2~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add14~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]), + .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add14~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add14~1 .lut_mask = 16'hC00C; +defparam \hdmi_ctrl_inst|encode_inst2|Add14~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y24_N25 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out~2_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [3]), + .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out~2 .lut_mask = 16'h939C; +defparam \hdmi_ctrl_inst|encode_inst2|data_out~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~3 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out~3_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datac(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out~3 .lut_mask = 16'hF303; +defparam \hdmi_ctrl_inst|encode_inst2|data_out~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N10 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~0 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~0_combout = (!\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|cnt_v [8]))) + + .dataa(\vga_ctrl_inst|cnt_v [9]), + .datab(\vga_ctrl_inst|cnt_v [7]), + .datac(\vga_ctrl_inst|cnt_v [6]), + .datad(\vga_ctrl_inst|cnt_v [8]), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~0 .lut_mask = 16'h0001; +defparam \vga_ctrl_inst|pix_data_req~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X32_Y20_N31 +dffeas \vga_ctrl_inst|cnt_v[10] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[10]~12_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [10]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[10] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[10] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y20_N4 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~3 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~3_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~2_combout & ((\vga_ctrl_inst|always1~0_combout ) # (!\vga_ctrl_inst|cnt_v [9])))) + + .dataa(\vga_ctrl_inst|pix_data_req~1_combout ), + .datab(\vga_ctrl_inst|cnt_v [9]), + .datac(\vga_ctrl_inst|always1~0_combout ), + .datad(\vga_ctrl_inst|pix_data_req~2_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~3_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~3 .lut_mask = 16'hA200; +defparam \vga_ctrl_inst|pix_data_req~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout = \hdmi_ctrl_inst|encode_inst0|data_in_reg [3] $ (\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]), + .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 .lut_mask = 16'h0FF0; +defparam \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N11 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~5 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out~5_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [4]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out~5_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out~5 .lut_mask = 16'h995A; +defparam \hdmi_ctrl_inst|encode_inst0|data_out~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N19 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [6])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_out [6]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 .lut_mask = 16'hCFC0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N31 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [5]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y21_N27 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [7])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4]))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [7]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 .lut_mask = 16'hB8B8; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N21 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out~4_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [4]), + .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out~4 .lut_mask = 16'hA53C; +defparam \hdmi_ctrl_inst|encode_inst1|data_out~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout = \hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 .lut_mask = 16'h0FF0; +defparam \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N9 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~5 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out~5_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [4]), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out~5_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out~5 .lut_mask = 16'hC366; +defparam \hdmi_ctrl_inst|encode_inst2|data_out~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N13 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X37_Y20_N11 +dffeas \vga_ctrl_inst|cnt_h[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~2_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [1]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[1] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N26 +cycloneive_lcell_comb \vga_ctrl_inst|pix_x[10]~1 ( +// Equation(s): +// \vga_ctrl_inst|pix_x[10]~1_combout = (\vga_ctrl_inst|Add2~18_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_ctrl_inst|Add2~18_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_x[10]~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_x[10]~1 .lut_mask = 16'hFF0F; +defparam \vga_ctrl_inst|pix_x[10]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N10 +cycloneive_lcell_comb \vga_pic_inst|always0~1 ( +// Equation(s): +// \vga_pic_inst|always0~1_combout = (\vga_ctrl_inst|Add2~14_combout ) # ((\vga_ctrl_inst|Add2~12_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )) + + .dataa(\vga_ctrl_inst|Add2~14_combout ), + .datab(gnd), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_ctrl_inst|Add2~12_combout ), + .cin(gnd), + .combout(\vga_pic_inst|always0~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|always0~1 .lut_mask = 16'hFFAF; +defparam \vga_pic_inst|always0~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N12 +cycloneive_lcell_comb \vga_pic_inst|always0~2 ( +// Equation(s): +// \vga_pic_inst|always0~2_combout = (\vga_pic_inst|always0~1_combout ) # ((\vga_ctrl_inst|Add2~18_combout ) # ((\vga_ctrl_inst|Add2~16_combout ) # (\vga_pic_inst|LessThan17~2_combout ))) + + .dataa(\vga_pic_inst|always0~1_combout ), + .datab(\vga_ctrl_inst|Add2~18_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_pic_inst|LessThan17~2_combout ), + .cin(gnd), + .combout(\vga_pic_inst|always0~2_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|always0~2 .lut_mask = 16'hFFFE; +defparam \vga_pic_inst|always0~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N6 +cycloneive_lcell_comb \vga_pic_inst|pix_data[9]~14 ( +// Equation(s): +// \vga_pic_inst|pix_data[9]~14_combout = (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~14_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_ctrl_inst|Add2~14_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data[9]~14_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[9]~14 .lut_mask = 16'h00F0; +defparam \vga_pic_inst|pix_data[9]~14 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N18 +cycloneive_lcell_comb \vga_pic_inst|pix_data~16 ( +// Equation(s): +// \vga_pic_inst|pix_data~16_combout = (\vga_pic_inst|always0~2_combout & (!\vga_pic_inst|pix_data[9]~15_combout & (!\vga_ctrl_inst|pix_x[11]~0_combout & \vga_pic_inst|pix_data[13]~9_combout ))) + + .dataa(\vga_pic_inst|always0~2_combout ), + .datab(\vga_pic_inst|pix_data[9]~15_combout ), + .datac(\vga_ctrl_inst|pix_x[11]~0_combout ), + .datad(\vga_pic_inst|pix_data[13]~9_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~16_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~16 .lut_mask = 16'h0200; +defparam \vga_pic_inst|pix_data~16 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y20_N22 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~8 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~8_combout = (!\vga_ctrl_inst|cnt_v [11] & !\vga_ctrl_inst|cnt_v [10]) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_v [11]), + .datac(\vga_ctrl_inst|cnt_v [10]), + .datad(gnd), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~8_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~8 .lut_mask = 16'h0303; +defparam \vga_ctrl_inst|pix_data_req~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N30 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[10]~12 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[10]~12_combout = (\vga_ctrl_inst|Add1~20_combout & (((!\vga_ctrl_inst|Equal0~3_combout & \vga_ctrl_inst|cnt_v [10])) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~20_combout & (!\vga_ctrl_inst|Equal0~3_combout +// & (\vga_ctrl_inst|cnt_v [10]))) + + .dataa(\vga_ctrl_inst|Add1~20_combout ), + .datab(\vga_ctrl_inst|Equal0~3_combout ), + .datac(\vga_ctrl_inst|cnt_v [10]), + .datad(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[10]~12_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[10]~12 .lut_mask = 16'h30BA; +defparam \vga_ctrl_inst|cnt_v[10]~12 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout = \hdmi_ctrl_inst|encode_inst0|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]), + .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 .lut_mask = 16'h3C3C; +defparam \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 .lut_mask = 16'h33AA; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N23 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out~8_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [8]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[8] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[8] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst0|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [8]), + .datab(gnd), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 .lut_mask = 16'hA0A0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N22 +cycloneive_lcell_comb \vga_pic_inst|LessThan10~0 ( +// Equation(s): +// \vga_pic_inst|LessThan10~0_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~16_combout & ((\vga_pic_inst|LessThan17~2_combout ) # (!\vga_ctrl_inst|Add2~14_combout )))) + + .dataa(\vga_ctrl_inst|pix_data_req~7_combout ), + .datab(\vga_pic_inst|LessThan17~2_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_ctrl_inst|Add2~14_combout ), + .cin(gnd), + .combout(\vga_pic_inst|LessThan10~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|LessThan10~0 .lut_mask = 16'h080A; +defparam \vga_pic_inst|LessThan10~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N24 +cycloneive_lcell_comb \vga_pic_inst|pix_data~22 ( +// Equation(s): +// \vga_pic_inst|pix_data~22_combout = (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~10_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_ctrl_inst|Add2~10_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~22_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~22 .lut_mask = 16'h00F0; +defparam \vga_pic_inst|pix_data~22 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N2 +cycloneive_lcell_comb \vga_pic_inst|pix_data~23 ( +// Equation(s): +// \vga_pic_inst|pix_data~23_combout = (!\vga_ctrl_inst|Add2~14_combout & (\vga_pic_inst|pix_data~22_combout & (!\vga_ctrl_inst|Add2~16_combout & \vga_ctrl_inst|Add2~12_combout ))) + + .dataa(\vga_ctrl_inst|Add2~14_combout ), + .datab(\vga_pic_inst|pix_data~22_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_ctrl_inst|Add2~12_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~23_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~23 .lut_mask = 16'h0400; +defparam \vga_pic_inst|pix_data~23 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N20 +cycloneive_lcell_comb \vga_pic_inst|LessThan14~1 ( +// Equation(s): +// \vga_pic_inst|LessThan14~1_combout = (\vga_ctrl_inst|Add2~12_combout & \vga_ctrl_inst|Add2~10_combout ) + + .dataa(\vga_ctrl_inst|Add2~12_combout ), + .datab(gnd), + .datac(gnd), + .datad(\vga_ctrl_inst|Add2~10_combout ), + .cin(gnd), + .combout(\vga_pic_inst|LessThan14~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|LessThan14~1 .lut_mask = 16'hAA00; +defparam \vga_pic_inst|LessThan14~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N30 +cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~24 ( +// Equation(s): +// \vga_pic_inst|pix_data[13]~24_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_pic_inst|LessThan14~1_combout & (!\vga_ctrl_inst|Add2~16_combout & !\vga_ctrl_inst|Add2~14_combout ))) + + .dataa(\vga_ctrl_inst|pix_data_req~7_combout ), + .datab(\vga_pic_inst|LessThan14~1_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_ctrl_inst|Add2~14_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data[13]~24_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[13]~24 .lut_mask = 16'h0002; +defparam \vga_pic_inst|pix_data[13]~24 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N8 +cycloneive_lcell_comb \vga_pic_inst|pix_data~25 ( +// Equation(s): +// \vga_pic_inst|pix_data~25_combout = (!\vga_ctrl_inst|pix_x[10]~1_combout & ((\vga_pic_inst|pix_data~23_combout ) # ((\vga_pic_inst|LessThan10~0_combout & !\vga_pic_inst|pix_data[13]~24_combout )))) + + .dataa(\vga_pic_inst|LessThan10~0_combout ), + .datab(\vga_ctrl_inst|pix_x[10]~1_combout ), + .datac(\vga_pic_inst|pix_data[13]~24_combout ), + .datad(\vga_pic_inst|pix_data~23_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~25_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~25 .lut_mask = 16'h3302; +defparam \vga_pic_inst|pix_data~25 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout = \hdmi_ctrl_inst|encode_inst1|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]), + .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 .lut_mask = 16'hC33C; +defparam \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N7 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [7]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~5 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out~5_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [7] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [7]), + .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out~5_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out~5 .lut_mask = 16'h9A56; +defparam \hdmi_ctrl_inst|encode_inst1|data_out~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N9 +dffeas \hdmi_ctrl_inst|encode_inst1|data_out[9] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|data_out~6_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_out [9]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[9] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[9] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout = (\hdmi_ctrl_inst|encode_inst1|data_out [9] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|data_out [9]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 .lut_mask = 16'hC0C0; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout = \hdmi_ctrl_inst|encode_inst2|data_in_reg [3] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 .lut_mask = 16'h33CC; +defparam \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N23 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out~8_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [8]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[8] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[8] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst2|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [8]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(gnd), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 .lut_mask = 16'h8888; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out~8_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out~8 .lut_mask = 16'h8D8D; +defparam \hdmi_ctrl_inst|encode_inst0|data_out~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst1|data_in_reg [7] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]), + .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 .lut_mask = 16'hC33C; +defparam \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out~6_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst2|c1_reg2~q $ +// ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .datab(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ), + .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out~6 .lut_mask = 16'hEB41; +defparam \hdmi_ctrl_inst|encode_inst1|data_out~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out~8_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )) + + .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .datab(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out~8 .lut_mask = 16'hB1B1; +defparam \hdmi_ctrl_inst|encode_inst2|data_out~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N14 +cycloneive_lcell_comb \vga_pic_inst|pix_data~37 ( +// Equation(s): +// \vga_pic_inst|pix_data~37_combout = ((!\vga_ctrl_inst|Add2~18_combout & (\vga_ctrl_inst|pix_data_req~7_combout & \vga_pic_inst|pix_data~23_combout ))) # (!\vga_pic_inst|pix_data~16_combout ) + + .dataa(\vga_pic_inst|pix_data~16_combout ), + .datab(\vga_ctrl_inst|Add2~18_combout ), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_pic_inst|pix_data~23_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~37_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~37 .lut_mask = 16'h7555; +defparam \vga_pic_inst|pix_data~37 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: CLKCTRL_G8 +cycloneive_clkctrl \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl ( + .ena(vcc), + .inclk({vcc,vcc,vcc,\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0]}), + .clkselect(2'b00), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk )); +// synopsys translate_off +defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .clock_type = "global clock"; +defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~2_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out~2_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~2_combout + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out~2_combout ), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder .lut_mask = 16'hAAAA; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~2_combout + + .dataa(\hdmi_ctrl_inst|encode_inst2|data_out~2_combout ), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder .lut_mask = 16'hAAAA; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~5_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out~5_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~4_combout + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|data_out~4_combout ), + .datac(gnd), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder .lut_mask = 16'hCCCC; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~5_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out~5_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~5_combout + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out~5_combout ), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder .lut_mask = 16'hAAAA; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y13_N16 +cycloneive_io_obuf \ddc_scl~output ( + .i(vcc), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(ddc_scl), + .obar()); +// synopsys translate_off +defparam \ddc_scl~output .bus_hold = "false"; +defparam \ddc_scl~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y10_N16 +cycloneive_io_obuf \ddc_sda~output ( + .i(vcc), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(ddc_sda), + .obar()); +// synopsys translate_off +defparam \ddc_sda~output .bus_hold = "false"; +defparam \ddc_sda~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y21_N23 +cycloneive_io_obuf \tmds_clk_p~output ( + .i(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(tmds_clk_p), + .obar()); +// synopsys translate_off +defparam \tmds_clk_p~output .bus_hold = "false"; +defparam \tmds_clk_p~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y20_N2 +cycloneive_io_obuf \tmds_clk_n~output ( + .i(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(tmds_clk_n), + .obar()); +// synopsys translate_off +defparam \tmds_clk_n~output .bus_hold = "false"; +defparam \tmds_clk_n~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y22_N16 +cycloneive_io_obuf \tmds_data_p[0]~output ( + .i(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(tmds_data_p[0]), + .obar()); +// synopsys translate_off +defparam \tmds_data_p[0]~output .bus_hold = "false"; +defparam \tmds_data_p[0]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y23_N9 +cycloneive_io_obuf \tmds_data_p[1]~output ( + .i(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(tmds_data_p[1]), + .obar()); +// synopsys translate_off +defparam \tmds_data_p[1]~output .bus_hold = "false"; +defparam \tmds_data_p[1]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y24_N2 +cycloneive_io_obuf \tmds_data_p[2]~output ( + .i(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(tmds_data_p[2]), + .obar()); +// synopsys translate_off +defparam \tmds_data_p[2]~output .bus_hold = "false"; +defparam \tmds_data_p[2]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y22_N23 +cycloneive_io_obuf \tmds_data_n[0]~output ( + .i(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(tmds_data_n[0]), + .obar()); +// synopsys translate_off +defparam \tmds_data_n[0]~output .bus_hold = "false"; +defparam \tmds_data_n[0]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y23_N16 +cycloneive_io_obuf \tmds_data_n[1]~output ( + .i(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(tmds_data_n[1]), + .obar()); +// synopsys translate_off +defparam \tmds_data_n[1]~output .bus_hold = "false"; +defparam \tmds_data_n[1]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y24_N9 +cycloneive_io_obuf \tmds_data_n[2]~output ( + .i(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(tmds_data_n[2]), + .obar()); +// synopsys translate_off +defparam \tmds_data_n[2]~output .bus_hold = "false"; +defparam \tmds_data_n[2]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout = (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 .lut_mask = 16'h0303; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N25 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [1] $ (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 .lut_mask = 16'h0FF0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N19 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] $ (((\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 .lut_mask = 16'h3CF0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N17 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1]), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 .lut_mask = 16'h00AA; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N13 +dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N31 +dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) # (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 .lut_mask = 16'hFCFC; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N5 +dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 .lut_mask = 16'h00F0; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N3 +dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 .lut_mask = 16'h00CC; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N29 +dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 .lut_mask = 16'h00CC; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N23 +dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] .power_up = "low"; +// synopsys translate_on + +// Location: IOIBUF_X41_Y15_N22 +cycloneive_io_ibuf \sys_clk~input ( + .i(sys_clk), + .ibar(gnd), + .o(\sys_clk~input_o )); +// synopsys translate_off +defparam \sys_clk~input .bus_hold = "false"; +defparam \sys_clk~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: CLKCTRL_G9 +cycloneive_clkctrl \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl ( + .ena(vcc), + .inclk({vcc,vcc,vcc,\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [1]}), + .clkselect(2'b00), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk )); +// synopsys translate_off +defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl .clock_type = "global clock"; +defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: DDIOOUTCELL_X41_Y21_N25 +cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] ( + .datainlo(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]), + .datainhi(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]), + .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clk(gnd), + .ena(vcc), + .areset(gnd), + .sreset(gnd), + .devclrn(devclrn), + .devpor(devpor), + .dataout(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .dfflo(), + .dffhi()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true"; +// synopsys translate_on + +// Location: DDIOOUTCELL_X41_Y20_N4 +cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] ( + .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]), + .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]), + .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clk(gnd), + .ena(vcc), + .areset(gnd), + .sreset(gnd), + .devclrn(devclrn), + .devpor(devpor), + .dataout(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .dfflo(), + .dffhi()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N8 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~0 ( +// Equation(s): +// \vga_ctrl_inst|Add0~0_combout = \vga_ctrl_inst|cnt_h [0] $ (VCC) +// \vga_ctrl_inst|Add0~1 = CARRY(\vga_ctrl_inst|cnt_h [0]) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\vga_ctrl_inst|Add0~0_combout ), + .cout(\vga_ctrl_inst|Add0~1 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~0 .lut_mask = 16'h33CC; +defparam \vga_ctrl_inst|Add0~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y26_N0 +cycloneive_lcell_comb \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder ( +// Equation(s): +// \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout = VCC + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(gnd), + .combout(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .lut_mask = 16'hFFFF; +defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: IOIBUF_X41_Y4_N1 +cycloneive_io_ibuf \sys_rst_n~input ( + .i(sys_rst_n), + .ibar(gnd), + .o(\sys_rst_n~input_o )); +// synopsys translate_off +defparam \sys_rst_n~input .bus_hold = "false"; +defparam \sys_rst_n~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: FF_X40_Y26_N1 +dffeas \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ), + .d(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ), + .asdata(vcc), + .clrn(\sys_rst_n~input_o ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ), + .prn(vcc)); +// synopsys translate_off +defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .is_wysiwyg = "true"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y26_N18 +cycloneive_lcell_comb \rst_n~0 ( +// Equation(s): +// \rst_n~0_combout = ((!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ) # (!\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q )) # (!\sys_rst_n~input_o ) + + .dataa(\sys_rst_n~input_o ), + .datab(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ), + .datac(gnd), + .datad(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ), + .cin(gnd), + .combout(\rst_n~0_combout ), + .cout()); +// synopsys translate_off +defparam \rst_n~0 .lut_mask = 16'h77FF; +defparam \rst_n~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: CLKCTRL_G7 +cycloneive_clkctrl \rst_n~0clkctrl ( + .ena(vcc), + .inclk({vcc,vcc,vcc,\rst_n~0_combout }), + .clkselect(2'b00), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\rst_n~0clkctrl_outclk )); +// synopsys translate_off +defparam \rst_n~0clkctrl .clock_type = "global clock"; +defparam \rst_n~0clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: FF_X37_Y20_N9 +dffeas \vga_ctrl_inst|cnt_h[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [0]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[0] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N12 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~4 ( +// Equation(s): +// \vga_ctrl_inst|Add0~4_combout = (\vga_ctrl_inst|cnt_h [2] & (\vga_ctrl_inst|Add0~3 $ (GND))) # (!\vga_ctrl_inst|cnt_h [2] & (!\vga_ctrl_inst|Add0~3 & VCC)) +// \vga_ctrl_inst|Add0~5 = CARRY((\vga_ctrl_inst|cnt_h [2] & !\vga_ctrl_inst|Add0~3 )) + + .dataa(\vga_ctrl_inst|cnt_h [2]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~3 ), + .combout(\vga_ctrl_inst|Add0~4_combout ), + .cout(\vga_ctrl_inst|Add0~5 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~4 .lut_mask = 16'hA50A; +defparam \vga_ctrl_inst|Add0~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N14 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~6 ( +// Equation(s): +// \vga_ctrl_inst|Add0~6_combout = (\vga_ctrl_inst|cnt_h [3] & (!\vga_ctrl_inst|Add0~5 )) # (!\vga_ctrl_inst|cnt_h [3] & ((\vga_ctrl_inst|Add0~5 ) # (GND))) +// \vga_ctrl_inst|Add0~7 = CARRY((!\vga_ctrl_inst|Add0~5 ) # (!\vga_ctrl_inst|cnt_h [3])) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [3]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~5 ), + .combout(\vga_ctrl_inst|Add0~6_combout ), + .cout(\vga_ctrl_inst|Add0~7 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~6 .lut_mask = 16'h3C3F; +defparam \vga_ctrl_inst|Add0~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y20_N15 +dffeas \vga_ctrl_inst|cnt_h[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~6_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [3]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[3] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N16 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~8 ( +// Equation(s): +// \vga_ctrl_inst|Add0~8_combout = (\vga_ctrl_inst|cnt_h [4] & (\vga_ctrl_inst|Add0~7 $ (GND))) # (!\vga_ctrl_inst|cnt_h [4] & (!\vga_ctrl_inst|Add0~7 & VCC)) +// \vga_ctrl_inst|Add0~9 = CARRY((\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add0~7 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [4]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~7 ), + .combout(\vga_ctrl_inst|Add0~8_combout ), + .cout(\vga_ctrl_inst|Add0~9 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~8 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add0~8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y20_N17 +dffeas \vga_ctrl_inst|cnt_h[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~8_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [4]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[4] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N20 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~12 ( +// Equation(s): +// \vga_ctrl_inst|Add0~12_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add0~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add0~11 & VCC)) +// \vga_ctrl_inst|Add0~13 = CARRY((\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add0~11 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [6]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~11 ), + .combout(\vga_ctrl_inst|Add0~12_combout ), + .cout(\vga_ctrl_inst|Add0~13 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~12 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add0~12 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y20_N21 +dffeas \vga_ctrl_inst|cnt_h[6] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~12_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [6]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[6] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[6] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N22 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~14 ( +// Equation(s): +// \vga_ctrl_inst|Add0~14_combout = (\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add0~13 )) # (!\vga_ctrl_inst|cnt_h [7] & ((\vga_ctrl_inst|Add0~13 ) # (GND))) +// \vga_ctrl_inst|Add0~15 = CARRY((!\vga_ctrl_inst|Add0~13 ) # (!\vga_ctrl_inst|cnt_h [7])) + + .dataa(\vga_ctrl_inst|cnt_h [7]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~13 ), + .combout(\vga_ctrl_inst|Add0~14_combout ), + .cout(\vga_ctrl_inst|Add0~15 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~14 .lut_mask = 16'h5A5F; +defparam \vga_ctrl_inst|Add0~14 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y20_N23 +dffeas \vga_ctrl_inst|cnt_h[7] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~14_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [7]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[7] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[7] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X37_Y20_N13 +dffeas \vga_ctrl_inst|cnt_h[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~4_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [2]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[2] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N4 +cycloneive_lcell_comb \vga_ctrl_inst|Equal0~0 ( +// Equation(s): +// \vga_ctrl_inst|Equal0~0_combout = (\vga_ctrl_inst|cnt_h [1] & (\vga_ctrl_inst|cnt_h [3] & (\vga_ctrl_inst|cnt_h [0] & \vga_ctrl_inst|cnt_h [2]))) + + .dataa(\vga_ctrl_inst|cnt_h [1]), + .datab(\vga_ctrl_inst|cnt_h [3]), + .datac(\vga_ctrl_inst|cnt_h [0]), + .datad(\vga_ctrl_inst|cnt_h [2]), + .cin(gnd), + .combout(\vga_ctrl_inst|Equal0~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|Equal0~0 .lut_mask = 16'h8000; +defparam \vga_ctrl_inst|Equal0~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N24 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~16 ( +// Equation(s): +// \vga_ctrl_inst|Add0~16_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add0~15 $ (GND))) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add0~15 & VCC)) +// \vga_ctrl_inst|Add0~17 = CARRY((\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add0~15 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [8]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~15 ), + .combout(\vga_ctrl_inst|Add0~16_combout ), + .cout(\vga_ctrl_inst|Add0~17 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~16 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add0~16 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N26 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~18 ( +// Equation(s): +// \vga_ctrl_inst|Add0~18_combout = (\vga_ctrl_inst|cnt_h [9] & (!\vga_ctrl_inst|Add0~17 )) # (!\vga_ctrl_inst|cnt_h [9] & ((\vga_ctrl_inst|Add0~17 ) # (GND))) +// \vga_ctrl_inst|Add0~19 = CARRY((!\vga_ctrl_inst|Add0~17 ) # (!\vga_ctrl_inst|cnt_h [9])) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [9]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~17 ), + .combout(\vga_ctrl_inst|Add0~18_combout ), + .cout(\vga_ctrl_inst|Add0~19 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~18 .lut_mask = 16'h3C3F; +defparam \vga_ctrl_inst|Add0~18 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N28 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~20 ( +// Equation(s): +// \vga_ctrl_inst|Add0~20_combout = (\vga_ctrl_inst|cnt_h [10] & (\vga_ctrl_inst|Add0~19 $ (GND))) # (!\vga_ctrl_inst|cnt_h [10] & (!\vga_ctrl_inst|Add0~19 & VCC)) +// \vga_ctrl_inst|Add0~21 = CARRY((\vga_ctrl_inst|cnt_h [10] & !\vga_ctrl_inst|Add0~19 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [10]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~19 ), + .combout(\vga_ctrl_inst|Add0~20_combout ), + .cout(\vga_ctrl_inst|Add0~21 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~20 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add0~20 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y20_N29 +dffeas \vga_ctrl_inst|cnt_h[10] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~20_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [10]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[10] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[10] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N30 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~22 ( +// Equation(s): +// \vga_ctrl_inst|Add0~22_combout = \vga_ctrl_inst|cnt_h [11] $ (\vga_ctrl_inst|Add0~21 ) + + .dataa(\vga_ctrl_inst|cnt_h [11]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\vga_ctrl_inst|Add0~21 ), + .combout(\vga_ctrl_inst|Add0~22_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~22 .lut_mask = 16'h5A5A; +defparam \vga_ctrl_inst|Add0~22 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y20_N31 +dffeas \vga_ctrl_inst|cnt_h[11] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~22_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [11]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[11] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[11] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N6 +cycloneive_lcell_comb \vga_ctrl_inst|Equal0~1 ( +// Equation(s): +// \vga_ctrl_inst|Equal0~1_combout = (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|cnt_h [10] & (!\vga_ctrl_inst|cnt_h [11] & \vga_ctrl_inst|cnt_h [9]))) + + .dataa(\vga_ctrl_inst|cnt_h [7]), + .datab(\vga_ctrl_inst|cnt_h [10]), + .datac(\vga_ctrl_inst|cnt_h [11]), + .datad(\vga_ctrl_inst|cnt_h [9]), + .cin(gnd), + .combout(\vga_ctrl_inst|Equal0~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|Equal0~1 .lut_mask = 16'h0100; +defparam \vga_ctrl_inst|Equal0~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N24 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~0 ( +// Equation(s): +// \vga_ctrl_inst|cnt_h~0_combout = (\vga_ctrl_inst|Add0~10_combout & (((!\vga_ctrl_inst|Equal0~2_combout ) # (!\vga_ctrl_inst|Equal0~1_combout )) # (!\vga_ctrl_inst|Equal0~0_combout ))) + + .dataa(\vga_ctrl_inst|Add0~10_combout ), + .datab(\vga_ctrl_inst|Equal0~0_combout ), + .datac(\vga_ctrl_inst|Equal0~1_combout ), + .datad(\vga_ctrl_inst|Equal0~2_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_h~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h~0 .lut_mask = 16'h2AAA; +defparam \vga_ctrl_inst|cnt_h~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X36_Y21_N25 +dffeas \vga_ctrl_inst|cnt_h[5] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_h~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [5]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[5] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[5] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N30 +cycloneive_lcell_comb \vga_ctrl_inst|Equal0~2 ( +// Equation(s): +// \vga_ctrl_inst|Equal0~2_combout = (\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|cnt_h [5] & (\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|cnt_h [6]))) + + .dataa(\vga_ctrl_inst|cnt_h [8]), + .datab(\vga_ctrl_inst|cnt_h [5]), + .datac(\vga_ctrl_inst|cnt_h [4]), + .datad(\vga_ctrl_inst|cnt_h [6]), + .cin(gnd), + .combout(\vga_ctrl_inst|Equal0~2_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|Equal0~2 .lut_mask = 16'h0020; +defparam \vga_ctrl_inst|Equal0~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N2 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~2 ( +// Equation(s): +// \vga_ctrl_inst|cnt_h~2_combout = (\vga_ctrl_inst|Add0~16_combout & (((!\vga_ctrl_inst|Equal0~2_combout ) # (!\vga_ctrl_inst|Equal0~0_combout )) # (!\vga_ctrl_inst|Equal0~1_combout ))) + + .dataa(\vga_ctrl_inst|Equal0~1_combout ), + .datab(\vga_ctrl_inst|Add0~16_combout ), + .datac(\vga_ctrl_inst|Equal0~0_combout ), + .datad(\vga_ctrl_inst|Equal0~2_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_h~2_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h~2 .lut_mask = 16'h4CCC; +defparam \vga_ctrl_inst|cnt_h~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y20_N3 +dffeas \vga_ctrl_inst|cnt_h[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_h~2_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [8]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[8] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[8] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N0 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~1 ( +// Equation(s): +// \vga_ctrl_inst|cnt_h~1_combout = (\vga_ctrl_inst|Add0~18_combout & (((!\vga_ctrl_inst|Equal0~2_combout ) # (!\vga_ctrl_inst|Equal0~0_combout )) # (!\vga_ctrl_inst|Equal0~1_combout ))) + + .dataa(\vga_ctrl_inst|Equal0~1_combout ), + .datab(\vga_ctrl_inst|Equal0~0_combout ), + .datac(\vga_ctrl_inst|Add0~18_combout ), + .datad(\vga_ctrl_inst|Equal0~2_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_h~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h~1 .lut_mask = 16'h70F0; +defparam \vga_ctrl_inst|cnt_h~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y20_N1 +dffeas \vga_ctrl_inst|cnt_h[9] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_h~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [9]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[9] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[9] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N22 +cycloneive_lcell_comb \vga_ctrl_inst|LessThan4~0 ( +// Equation(s): +// \vga_ctrl_inst|LessThan4~0_combout = (!\vga_ctrl_inst|cnt_h [5] & (!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|cnt_h [6])) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [5]), + .datac(\vga_ctrl_inst|cnt_h [4]), + .datad(\vga_ctrl_inst|cnt_h [6]), + .cin(gnd), + .combout(\vga_ctrl_inst|LessThan4~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|LessThan4~0 .lut_mask = 16'h0003; +defparam \vga_ctrl_inst|LessThan4~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y20_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add4~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add4~0_combout = (\vga_ctrl_inst|cnt_h [8] & (((!\vga_ctrl_inst|cnt_h [7] & \vga_ctrl_inst|LessThan4~0_combout )) # (!\vga_ctrl_inst|cnt_h [9]))) # (!\vga_ctrl_inst|cnt_h [8] & ((\vga_ctrl_inst|cnt_h [9]) # +// ((\vga_ctrl_inst|cnt_h [7] & !\vga_ctrl_inst|LessThan4~0_combout )))) + + .dataa(\vga_ctrl_inst|cnt_h [8]), + .datab(\vga_ctrl_inst|cnt_h [7]), + .datac(\vga_ctrl_inst|cnt_h [9]), + .datad(\vga_ctrl_inst|LessThan4~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add4~0 .lut_mask = 16'h7A5E; +defparam \hdmi_ctrl_inst|encode_inst0|Add4~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N0 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~1 ( +// Equation(s): +// \vga_ctrl_inst|Add2~1_cout = CARRY((\vga_ctrl_inst|cnt_h [1] & \vga_ctrl_inst|cnt_h [0])) + + .dataa(\vga_ctrl_inst|cnt_h [1]), + .datab(\vga_ctrl_inst|cnt_h [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(), + .cout(\vga_ctrl_inst|Add2~1_cout )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~1 .lut_mask = 16'h0088; +defparam \vga_ctrl_inst|Add2~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N2 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~3 ( +// Equation(s): +// \vga_ctrl_inst|Add2~3_cout = CARRY((!\vga_ctrl_inst|Add2~1_cout ) # (!\vga_ctrl_inst|cnt_h [2])) + + .dataa(\vga_ctrl_inst|cnt_h [2]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~1_cout ), + .combout(), + .cout(\vga_ctrl_inst|Add2~3_cout )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~3 .lut_mask = 16'h005F; +defparam \vga_ctrl_inst|Add2~3 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N4 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~5 ( +// Equation(s): +// \vga_ctrl_inst|Add2~5_cout = CARRY((\vga_ctrl_inst|cnt_h [3] & !\vga_ctrl_inst|Add2~3_cout )) + + .dataa(\vga_ctrl_inst|cnt_h [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~3_cout ), + .combout(), + .cout(\vga_ctrl_inst|Add2~5_cout )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~5 .lut_mask = 16'h000A; +defparam \vga_ctrl_inst|Add2~5 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N6 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~7 ( +// Equation(s): +// \vga_ctrl_inst|Add2~7_cout = CARRY((!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add2~5_cout )) + + .dataa(\vga_ctrl_inst|cnt_h [4]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~5_cout ), + .combout(), + .cout(\vga_ctrl_inst|Add2~7_cout )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~7 .lut_mask = 16'h0005; +defparam \vga_ctrl_inst|Add2~7 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N8 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~9 ( +// Equation(s): +// \vga_ctrl_inst|Add2~9_cout = CARRY((\vga_ctrl_inst|cnt_h [5]) # (!\vga_ctrl_inst|Add2~7_cout )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [5]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~7_cout ), + .combout(), + .cout(\vga_ctrl_inst|Add2~9_cout )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~9 .lut_mask = 16'h00CF; +defparam \vga_ctrl_inst|Add2~9 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N10 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~10 ( +// Equation(s): +// \vga_ctrl_inst|Add2~10_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add2~9_cout & VCC)) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add2~9_cout )) +// \vga_ctrl_inst|Add2~11 = CARRY((!\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add2~9_cout )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [6]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~9_cout ), + .combout(\vga_ctrl_inst|Add2~10_combout ), + .cout(\vga_ctrl_inst|Add2~11 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~10 .lut_mask = 16'hC303; +defparam \vga_ctrl_inst|Add2~10 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N12 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~12 ( +// Equation(s): +// \vga_ctrl_inst|Add2~12_combout = (\vga_ctrl_inst|cnt_h [7] & (\vga_ctrl_inst|Add2~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add2~11 & VCC)) +// \vga_ctrl_inst|Add2~13 = CARRY((\vga_ctrl_inst|cnt_h [7] & !\vga_ctrl_inst|Add2~11 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [7]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~11 ), + .combout(\vga_ctrl_inst|Add2~12_combout ), + .cout(\vga_ctrl_inst|Add2~13 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~12 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add2~12 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N14 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~14 ( +// Equation(s): +// \vga_ctrl_inst|Add2~14_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add2~13 & VCC)) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add2~13 )) +// \vga_ctrl_inst|Add2~15 = CARRY((!\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add2~13 )) + + .dataa(\vga_ctrl_inst|cnt_h [8]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~13 ), + .combout(\vga_ctrl_inst|Add2~14_combout ), + .cout(\vga_ctrl_inst|Add2~15 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~14 .lut_mask = 16'hA505; +defparam \vga_ctrl_inst|Add2~14 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N16 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~16 ( +// Equation(s): +// \vga_ctrl_inst|Add2~16_combout = (\vga_ctrl_inst|cnt_h [9] & ((GND) # (!\vga_ctrl_inst|Add2~15 ))) # (!\vga_ctrl_inst|cnt_h [9] & (\vga_ctrl_inst|Add2~15 $ (GND))) +// \vga_ctrl_inst|Add2~17 = CARRY((\vga_ctrl_inst|cnt_h [9]) # (!\vga_ctrl_inst|Add2~15 )) + + .dataa(\vga_ctrl_inst|cnt_h [9]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~15 ), + .combout(\vga_ctrl_inst|Add2~16_combout ), + .cout(\vga_ctrl_inst|Add2~17 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~16 .lut_mask = 16'h5AAF; +defparam \vga_ctrl_inst|Add2~16 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N18 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~18 ( +// Equation(s): +// \vga_ctrl_inst|Add2~18_combout = (\vga_ctrl_inst|cnt_h [10] & (\vga_ctrl_inst|Add2~17 & VCC)) # (!\vga_ctrl_inst|cnt_h [10] & (!\vga_ctrl_inst|Add2~17 )) +// \vga_ctrl_inst|Add2~19 = CARRY((!\vga_ctrl_inst|cnt_h [10] & !\vga_ctrl_inst|Add2~17 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [10]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~17 ), + .combout(\vga_ctrl_inst|Add2~18_combout ), + .cout(\vga_ctrl_inst|Add2~19 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~18 .lut_mask = 16'hC303; +defparam \vga_ctrl_inst|Add2~18 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N0 +cycloneive_lcell_comb \vga_pic_inst|pix_data~12 ( +// Equation(s): +// \vga_pic_inst|pix_data~12_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~18_combout & (\vga_ctrl_inst|Add2~16_combout & !\vga_ctrl_inst|Add2~14_combout ))) + + .dataa(\vga_ctrl_inst|pix_data_req~7_combout ), + .datab(\vga_ctrl_inst|Add2~18_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_ctrl_inst|Add2~14_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~12_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~12 .lut_mask = 16'h0020; +defparam \vga_pic_inst|pix_data~12 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y20_N16 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~5 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~5_combout = \vga_ctrl_inst|cnt_h [8] $ (\vga_ctrl_inst|cnt_h [9]) + + .dataa(\vga_ctrl_inst|cnt_h [8]), + .datab(gnd), + .datac(\vga_ctrl_inst|cnt_h [9]), + .datad(gnd), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~5_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~5 .lut_mask = 16'h5A5A; +defparam \vga_ctrl_inst|pix_data_req~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N2 +cycloneive_lcell_comb \vga_ctrl_inst|Equal0~3 ( +// Equation(s): +// \vga_ctrl_inst|Equal0~3_combout = (\vga_ctrl_inst|Equal0~1_combout & (\vga_ctrl_inst|Equal0~0_combout & \vga_ctrl_inst|Equal0~2_combout )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|Equal0~1_combout ), + .datac(\vga_ctrl_inst|Equal0~0_combout ), + .datad(\vga_ctrl_inst|Equal0~2_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|Equal0~3_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|Equal0~3 .lut_mask = 16'hC000; +defparam \vga_ctrl_inst|Equal0~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N20 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[7]~7 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[7]~7_combout = (\vga_ctrl_inst|Add1~14_combout & (((\vga_ctrl_inst|cnt_v [7] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~14_combout & (((\vga_ctrl_inst|cnt_v [7] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|Add1~14_combout ), + .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [7]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[7]~7_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[7]~7 .lut_mask = 16'h22F2; +defparam \vga_ctrl_inst|cnt_v[7]~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N21 +dffeas \vga_ctrl_inst|cnt_v[7] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[7]~7_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [7]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[7] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[7] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N26 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[5]~10 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[5]~10_combout = (\vga_ctrl_inst|Add1~10_combout & (((!\vga_ctrl_inst|Equal0~3_combout & \vga_ctrl_inst|cnt_v [5])) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~10_combout & (!\vga_ctrl_inst|Equal0~3_combout +// & (\vga_ctrl_inst|cnt_v [5]))) + + .dataa(\vga_ctrl_inst|Add1~10_combout ), + .datab(\vga_ctrl_inst|Equal0~3_combout ), + .datac(\vga_ctrl_inst|cnt_v [5]), + .datad(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[5]~10_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[5]~10 .lut_mask = 16'h30BA; +defparam \vga_ctrl_inst|cnt_v[5]~10 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X32_Y20_N27 +dffeas \vga_ctrl_inst|cnt_v[5] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[5]~10_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [5]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[5] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[5] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N18 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[8]~6 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[8]~6_combout = (\vga_ctrl_inst|Add1~16_combout & (((\vga_ctrl_inst|cnt_v [8] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~16_combout & (((\vga_ctrl_inst|cnt_v [8] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|Add1~16_combout ), + .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [8]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[8]~6_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[8]~6 .lut_mask = 16'h22F2; +defparam \vga_ctrl_inst|cnt_v[8]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N19 +dffeas \vga_ctrl_inst|cnt_v[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[8]~6_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [8]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[8] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[8] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N28 +cycloneive_lcell_comb \vga_ctrl_inst|always1~0 ( +// Equation(s): +// \vga_ctrl_inst|always1~0_combout = (!\vga_ctrl_inst|cnt_v [6] & (!\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|cnt_v [5] & !\vga_ctrl_inst|cnt_v [8]))) + + .dataa(\vga_ctrl_inst|cnt_v [6]), + .datab(\vga_ctrl_inst|cnt_v [7]), + .datac(\vga_ctrl_inst|cnt_v [5]), + .datad(\vga_ctrl_inst|cnt_v [8]), + .cin(gnd), + .combout(\vga_ctrl_inst|always1~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|always1~0 .lut_mask = 16'h0001; +defparam \vga_ctrl_inst|always1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N8 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[1]~1 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[1]~1_combout = (\vga_ctrl_inst|Add1~2_combout & (((\vga_ctrl_inst|cnt_v [1] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~2_combout & (((\vga_ctrl_inst|cnt_v [1] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|Add1~2_combout ), + .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [1]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[1]~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[1]~1 .lut_mask = 16'h22F2; +defparam \vga_ctrl_inst|cnt_v[1]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N9 +dffeas \vga_ctrl_inst|cnt_v[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[1]~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [1]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[1] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N0 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[4]~5 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[4]~5_combout = (\vga_ctrl_inst|Add1~8_combout & (((\vga_ctrl_inst|cnt_v [4] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~8_combout & (((\vga_ctrl_inst|cnt_v [4] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|Add1~8_combout ), + .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [4]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[4]~5_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[4]~5 .lut_mask = 16'h22F2; +defparam \vga_ctrl_inst|cnt_v[4]~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N1 +dffeas \vga_ctrl_inst|cnt_v[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[4]~5_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [4]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[4] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N24 +cycloneive_lcell_comb \vga_ctrl_inst|always1~1 ( +// Equation(s): +// \vga_ctrl_inst|always1~1_combout = (\vga_ctrl_inst|pix_data_req~8_combout & (\vga_ctrl_inst|always1~0_combout & (!\vga_ctrl_inst|cnt_v [1] & !\vga_ctrl_inst|cnt_v [4]))) + + .dataa(\vga_ctrl_inst|pix_data_req~8_combout ), + .datab(\vga_ctrl_inst|always1~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [1]), + .datad(\vga_ctrl_inst|cnt_v [4]), + .cin(gnd), + .combout(\vga_ctrl_inst|always1~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|always1~1 .lut_mask = 16'h0008; +defparam \vga_ctrl_inst|always1~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N2 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~0 ( +// Equation(s): +// \vga_ctrl_inst|Add1~0_combout = \vga_ctrl_inst|cnt_v [0] $ (VCC) +// \vga_ctrl_inst|Add1~1 = CARRY(\vga_ctrl_inst|cnt_v [0]) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_v [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\vga_ctrl_inst|Add1~0_combout ), + .cout(\vga_ctrl_inst|Add1~1 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~0 .lut_mask = 16'h33CC; +defparam \vga_ctrl_inst|Add1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N0 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[0]~2 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[0]~2_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [0] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~0_combout ) # ((\vga_ctrl_inst|cnt_v [0] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datab(\vga_ctrl_inst|Add1~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [0]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[0]~2_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[0]~2 .lut_mask = 16'h44F4; +defparam \vga_ctrl_inst|cnt_v[0]~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X32_Y20_N1 +dffeas \vga_ctrl_inst|cnt_v[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[0]~2_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [0]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[0] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N2 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[3]~3 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[3]~3_combout = (\vga_ctrl_inst|Add1~6_combout & (((\vga_ctrl_inst|cnt_v [3] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~6_combout & (((\vga_ctrl_inst|cnt_v [3] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|Add1~6_combout ), + .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [3]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[3]~3_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[3]~3 .lut_mask = 16'h22F2; +defparam \vga_ctrl_inst|cnt_v[3]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N3 +dffeas \vga_ctrl_inst|cnt_v[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[3]~3_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [3]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[3] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N26 +cycloneive_lcell_comb \vga_ctrl_inst|always1~2 ( +// Equation(s): +// \vga_ctrl_inst|always1~2_combout = (\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [0] & \vga_ctrl_inst|cnt_v [3]))) + + .dataa(\vga_ctrl_inst|cnt_v [2]), + .datab(\vga_ctrl_inst|cnt_v [9]), + .datac(\vga_ctrl_inst|cnt_v [0]), + .datad(\vga_ctrl_inst|cnt_v [3]), + .cin(gnd), + .combout(\vga_ctrl_inst|always1~2_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|always1~2 .lut_mask = 16'h0800; +defparam \vga_ctrl_inst|always1~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N4 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[11]~0 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[11]~0_combout = ((\vga_ctrl_inst|always1~1_combout & \vga_ctrl_inst|always1~2_combout )) # (!\vga_ctrl_inst|Equal0~3_combout ) + + .dataa(gnd), + .datab(\vga_ctrl_inst|always1~1_combout ), + .datac(\vga_ctrl_inst|always1~2_combout ), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[11]~0 .lut_mask = 16'hC0FF; +defparam \vga_ctrl_inst|cnt_v[11]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N16 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[9]~9 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[9]~9_combout = (\vga_ctrl_inst|Add1~18_combout & (((\vga_ctrl_inst|cnt_v [9] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~18_combout & (((\vga_ctrl_inst|cnt_v [9] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|Add1~18_combout ), + .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [9]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[9]~9_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[9]~9 .lut_mask = 16'h22F2; +defparam \vga_ctrl_inst|cnt_v[9]~9 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N17 +dffeas \vga_ctrl_inst|cnt_v[9] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[9]~9_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [9]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[9] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[9] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N22 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[6]~8 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[6]~8_combout = (\vga_ctrl_inst|Add1~12_combout & (((\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~12_combout & (((\vga_ctrl_inst|cnt_v [6] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|Add1~12_combout ), + .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [6]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[6]~8_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[6]~8 .lut_mask = 16'h22F2; +defparam \vga_ctrl_inst|cnt_v[6]~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N23 +dffeas \vga_ctrl_inst|cnt_v[6] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[6]~8_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [6]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[6] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[6] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N6 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~4 ( +// Equation(s): +// \vga_ctrl_inst|Add1~4_combout = (\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|Add1~3 $ (GND))) # (!\vga_ctrl_inst|cnt_v [2] & (!\vga_ctrl_inst|Add1~3 & VCC)) +// \vga_ctrl_inst|Add1~5 = CARRY((\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|Add1~3 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_v [2]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~3 ), + .combout(\vga_ctrl_inst|Add1~4_combout ), + .cout(\vga_ctrl_inst|Add1~5 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~4 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add1~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N12 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[2]~4 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[2]~4_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~4_combout ) # ((\vga_ctrl_inst|cnt_v [2] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datab(\vga_ctrl_inst|Add1~4_combout ), + .datac(\vga_ctrl_inst|cnt_v [2]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[2]~4_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[2]~4 .lut_mask = 16'h44F4; +defparam \vga_ctrl_inst|cnt_v[2]~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N13 +dffeas \vga_ctrl_inst|cnt_v[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[2]~4_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [2]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[2] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N24 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~22 ( +// Equation(s): +// \vga_ctrl_inst|Add1~22_combout = \vga_ctrl_inst|Add1~21 $ (\vga_ctrl_inst|cnt_v [11]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\vga_ctrl_inst|cnt_v [11]), + .cin(\vga_ctrl_inst|Add1~21 ), + .combout(\vga_ctrl_inst|Add1~22_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~22 .lut_mask = 16'h0FF0; +defparam \vga_ctrl_inst|Add1~22 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N28 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[11]~11 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[11]~11_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [11] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~22_combout ) # ((\vga_ctrl_inst|cnt_v [11] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datab(\vga_ctrl_inst|Add1~22_combout ), + .datac(\vga_ctrl_inst|cnt_v [11]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[11]~11_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[11]~11 .lut_mask = 16'h44F4; +defparam \vga_ctrl_inst|cnt_v[11]~11 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X32_Y20_N29 +dffeas \vga_ctrl_inst|cnt_v[11] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[11]~11_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [11]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[11] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[11] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y20_N10 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~2 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~2_combout = (!\vga_ctrl_inst|cnt_v [10] & (!\vga_ctrl_inst|cnt_v [11] & (!\vga_ctrl_inst|cnt_h [11] & !\vga_ctrl_inst|cnt_h [10]))) + + .dataa(\vga_ctrl_inst|cnt_v [10]), + .datab(\vga_ctrl_inst|cnt_v [11]), + .datac(\vga_ctrl_inst|cnt_h [11]), + .datad(\vga_ctrl_inst|cnt_h [10]), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~2_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~2 .lut_mask = 16'h0001; +defparam \vga_ctrl_inst|pix_data_req~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y20_N30 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~4 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~4_combout = (\vga_ctrl_inst|pix_data_req~2_combout & ((\vga_ctrl_inst|always1~0_combout ) # (!\vga_ctrl_inst|cnt_v [9]))) + + .dataa(\vga_ctrl_inst|always1~0_combout ), + .datab(gnd), + .datac(\vga_ctrl_inst|cnt_v [9]), + .datad(\vga_ctrl_inst|pix_data_req~2_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~4_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~4 .lut_mask = 16'hAF00; +defparam \vga_ctrl_inst|pix_data_req~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y20_N2 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~6 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~6_combout = (\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|cnt_h [9] & ((\vga_ctrl_inst|Equal0~0_combout ) # (!\vga_ctrl_inst|LessThan4~0_combout )))) # (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Equal0~0_combout & +// (\vga_ctrl_inst|cnt_h [9] & \vga_ctrl_inst|LessThan4~0_combout ))) + + .dataa(\vga_ctrl_inst|Equal0~0_combout ), + .datab(\vga_ctrl_inst|cnt_h [7]), + .datac(\vga_ctrl_inst|cnt_h [9]), + .datad(\vga_ctrl_inst|LessThan4~0_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~6_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~6 .lut_mask = 16'h180C; +defparam \vga_ctrl_inst|pix_data_req~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y20_N28 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~7 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~7_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~4_combout & ((\vga_ctrl_inst|pix_data_req~5_combout ) # (\vga_ctrl_inst|pix_data_req~6_combout )))) + + .dataa(\vga_ctrl_inst|pix_data_req~1_combout ), + .datab(\vga_ctrl_inst|pix_data_req~5_combout ), + .datac(\vga_ctrl_inst|pix_data_req~4_combout ), + .datad(\vga_ctrl_inst|pix_data_req~6_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~7_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~7 .lut_mask = 16'hA080; +defparam \vga_ctrl_inst|pix_data_req~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N12 +cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~11 ( +// Equation(s): +// \vga_pic_inst|pix_data[13]~11_combout = ((\vga_ctrl_inst|Add2~16_combout ) # ((\vga_ctrl_inst|Add2~14_combout & \vga_ctrl_inst|Add2~12_combout ))) # (!\vga_ctrl_inst|pix_data_req~7_combout ) + + .dataa(\vga_ctrl_inst|Add2~14_combout ), + .datab(\vga_ctrl_inst|pix_data_req~7_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_ctrl_inst|Add2~12_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data[13]~11_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[13]~11 .lut_mask = 16'hFBF3; +defparam \vga_pic_inst|pix_data[13]~11 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N6 +cycloneive_lcell_comb \vga_pic_inst|always0~0 ( +// Equation(s): +// \vga_pic_inst|always0~0_combout = (\vga_ctrl_inst|Add2~16_combout ) # ((\vga_ctrl_inst|Add2~18_combout ) # ((!\vga_pic_inst|pix_data[13]~11_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout ))) + + .dataa(\vga_ctrl_inst|Add2~16_combout ), + .datab(\vga_ctrl_inst|Add2~18_combout ), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_pic_inst|pix_data[13]~11_combout ), + .cin(gnd), + .combout(\vga_pic_inst|always0~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|always0~0 .lut_mask = 16'hEFFF; +defparam \vga_pic_inst|always0~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N2 +cycloneive_lcell_comb \vga_pic_inst|pix_data~13 ( +// Equation(s): +// \vga_pic_inst|pix_data~13_combout = (\vga_pic_inst|LessThan14~0_combout & (((\vga_ctrl_inst|Add2~12_combout )) # (!\vga_pic_inst|pix_data~12_combout ))) # (!\vga_pic_inst|LessThan14~0_combout & (\vga_pic_inst|always0~0_combout & +// ((\vga_ctrl_inst|Add2~12_combout ) # (!\vga_pic_inst|pix_data~12_combout )))) + + .dataa(\vga_pic_inst|LessThan14~0_combout ), + .datab(\vga_pic_inst|pix_data~12_combout ), + .datac(\vga_ctrl_inst|Add2~12_combout ), + .datad(\vga_pic_inst|always0~0_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~13_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~13 .lut_mask = 16'hF3A2; +defparam \vga_pic_inst|pix_data~13 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N28 +cycloneive_lcell_comb \vga_pic_inst|pix_data~17 ( +// Equation(s): +// \vga_pic_inst|pix_data~17_combout = (!\vga_ctrl_inst|Add2~14_combout & (!\vga_ctrl_inst|Add2~16_combout & \vga_ctrl_inst|Add2~12_combout )) + + .dataa(\vga_ctrl_inst|Add2~14_combout ), + .datab(gnd), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_ctrl_inst|Add2~12_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~17_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~17 .lut_mask = 16'h0500; +defparam \vga_pic_inst|pix_data~17 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N10 +cycloneive_lcell_comb \vga_pic_inst|pix_data~34 ( +// Equation(s): +// \vga_pic_inst|pix_data~34_combout = ((!\vga_ctrl_inst|Add2~18_combout & (\vga_ctrl_inst|pix_data_req~7_combout & \vga_pic_inst|pix_data~17_combout ))) # (!\vga_pic_inst|pix_data~16_combout ) + + .dataa(\vga_pic_inst|pix_data~16_combout ), + .datab(\vga_ctrl_inst|Add2~18_combout ), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_pic_inst|pix_data~17_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~34_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~34 .lut_mask = 16'h7555; +defparam \vga_pic_inst|pix_data~34 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N16 +cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~8 ( +// Equation(s): +// \vga_pic_inst|pix_data[13]~8_combout = (!\vga_ctrl_inst|Add2~12_combout ) # (!\vga_ctrl_inst|Add2~14_combout ) + + .dataa(\vga_ctrl_inst|Add2~14_combout ), + .datab(gnd), + .datac(gnd), + .datad(\vga_ctrl_inst|Add2~12_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data[13]~8_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[13]~8 .lut_mask = 16'h55FF; +defparam \vga_pic_inst|pix_data[13]~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N18 +cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~9 ( +// Equation(s): +// \vga_pic_inst|pix_data[13]~9_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~18_combout & (!\vga_ctrl_inst|Add2~16_combout & \vga_pic_inst|pix_data[13]~8_combout ))) + + .dataa(\vga_ctrl_inst|pix_data_req~7_combout ), + .datab(\vga_ctrl_inst|Add2~18_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_pic_inst|pix_data[13]~8_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data[13]~9_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[13]~9 .lut_mask = 16'h0200; +defparam \vga_pic_inst|pix_data[13]~9 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N24 +cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~10 ( +// Equation(s): +// \vga_pic_inst|pix_data[13]~10_combout = (!\vga_ctrl_inst|Add2~20_combout & (\vga_ctrl_inst|pix_data_req~7_combout & \vga_pic_inst|pix_data[13]~9_combout )) + + .dataa(\vga_ctrl_inst|Add2~20_combout ), + .datab(gnd), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_pic_inst|pix_data[13]~9_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data[13]~10_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[13]~10 .lut_mask = 16'h5000; +defparam \vga_pic_inst|pix_data[13]~10 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N8 +cycloneive_lcell_comb \vga_pic_inst|pix_data~18 ( +// Equation(s): +// \vga_pic_inst|pix_data~18_combout = ((!\vga_ctrl_inst|pix_x[11]~0_combout & (!\vga_pic_inst|pix_data~13_combout & !\vga_pic_inst|pix_data[13]~10_combout ))) # (!\vga_pic_inst|pix_data~34_combout ) + + .dataa(\vga_ctrl_inst|pix_x[11]~0_combout ), + .datab(\vga_pic_inst|pix_data~13_combout ), + .datac(\vga_pic_inst|pix_data~34_combout ), + .datad(\vga_pic_inst|pix_data[13]~10_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~18_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~18 .lut_mask = 16'h0F1F; +defparam \vga_pic_inst|pix_data~18 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N9 +dffeas \vga_pic_inst|pix_data[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_pic_inst|pix_data~18_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_pic_inst|pix_data [4]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[4] .is_wysiwyg = "true"; +defparam \vga_pic_inst|pix_data[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N20 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~20 ( +// Equation(s): +// \vga_ctrl_inst|Add2~20_combout = \vga_ctrl_inst|Add2~19 $ (\vga_ctrl_inst|cnt_h [11]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\vga_ctrl_inst|cnt_h [11]), + .cin(\vga_ctrl_inst|Add2~19 ), + .combout(\vga_ctrl_inst|Add2~20_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~20 .lut_mask = 16'h0FF0; +defparam \vga_ctrl_inst|Add2~20 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N28 +cycloneive_lcell_comb \vga_pic_inst|LessThan17~4 ( +// Equation(s): +// \vga_pic_inst|LessThan17~4_combout = (!\vga_ctrl_inst|Add2~14_combout & (!\vga_ctrl_inst|Add2~10_combout & (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~12_combout ))) + + .dataa(\vga_ctrl_inst|Add2~14_combout ), + .datab(\vga_ctrl_inst|Add2~10_combout ), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_ctrl_inst|Add2~12_combout ), + .cin(gnd), + .combout(\vga_pic_inst|LessThan17~4_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|LessThan17~4 .lut_mask = 16'h0010; +defparam \vga_pic_inst|LessThan17~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N20 +cycloneive_lcell_comb \vga_pic_inst|LessThan17~3 ( +// Equation(s): +// \vga_pic_inst|LessThan17~3_combout = (!\vga_ctrl_inst|Add2~18_combout & (!\vga_ctrl_inst|Add2~20_combout & (\vga_ctrl_inst|Add2~16_combout & \vga_pic_inst|LessThan17~4_combout ))) + + .dataa(\vga_ctrl_inst|Add2~18_combout ), + .datab(\vga_ctrl_inst|Add2~20_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_pic_inst|LessThan17~4_combout ), + .cin(gnd), + .combout(\vga_pic_inst|LessThan17~3_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|LessThan17~3 .lut_mask = 16'h1000; +defparam \vga_pic_inst|LessThan17~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N14 +cycloneive_lcell_comb \vga_pic_inst|LessThan14~0 ( +// Equation(s): +// \vga_pic_inst|LessThan14~0_combout = (\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|Add2~10_combout & \vga_ctrl_inst|Add2~12_combout )) + + .dataa(\vga_ctrl_inst|Add2~14_combout ), + .datab(gnd), + .datac(\vga_ctrl_inst|Add2~10_combout ), + .datad(\vga_ctrl_inst|Add2~12_combout ), + .cin(gnd), + .combout(\vga_pic_inst|LessThan14~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|LessThan14~0 .lut_mask = 16'hA000; +defparam \vga_pic_inst|LessThan14~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N12 +cycloneive_lcell_comb \vga_pic_inst|pix_data~19 ( +// Equation(s): +// \vga_pic_inst|pix_data~19_combout = (\vga_pic_inst|LessThan17~3_combout ) # ((!\vga_ctrl_inst|pix_x[11]~0_combout & (!\vga_pic_inst|LessThan14~0_combout & !\vga_pic_inst|always0~0_combout ))) + + .dataa(\vga_ctrl_inst|pix_x[11]~0_combout ), + .datab(\vga_pic_inst|LessThan17~3_combout ), + .datac(\vga_pic_inst|LessThan14~0_combout ), + .datad(\vga_pic_inst|always0~0_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~19_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~19 .lut_mask = 16'hCCCD; +defparam \vga_pic_inst|pix_data~19 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N18 +cycloneive_lcell_comb \vga_pic_inst|pix_data~20 ( +// Equation(s): +// \vga_pic_inst|pix_data~20_combout = ((!\vga_pic_inst|pix_data[13]~10_combout & \vga_pic_inst|pix_data~19_combout )) # (!\vga_pic_inst|pix_data~34_combout ) + + .dataa(gnd), + .datab(\vga_pic_inst|pix_data[13]~10_combout ), + .datac(\vga_pic_inst|pix_data~34_combout ), + .datad(\vga_pic_inst|pix_data~19_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~20_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~20 .lut_mask = 16'h3F0F; +defparam \vga_pic_inst|pix_data~20 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N19 +dffeas \vga_pic_inst|pix_data[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_pic_inst|pix_data~20_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_pic_inst|pix_data [0]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[0] .is_wysiwyg = "true"; +defparam \vga_pic_inst|pix_data[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add6~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add6~0_combout = (\vga_ctrl_inst|pix_data_req~3_combout & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_pic_inst|pix_data [4] & \vga_pic_inst|pix_data [0]))) + + .dataa(\vga_ctrl_inst|pix_data_req~3_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .datac(\vga_pic_inst|pix_data [4]), + .datad(\vga_pic_inst|pix_data [0]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add6~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add6~0 .lut_mask = 16'h8000; +defparam \hdmi_ctrl_inst|encode_inst0|Add6~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N11 +dffeas \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|Add6~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2] + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 .lut_mask = 16'h00FF; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N21 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X35_Y22_N27 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N30 +cycloneive_lcell_comb \vga_ctrl_inst|LessThan6~0 ( +// Equation(s): +// \vga_ctrl_inst|LessThan6~0_combout = (!\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|cnt_v [2] & ((!\vga_ctrl_inst|cnt_v [1]) # (!\vga_ctrl_inst|cnt_v [0])))) + + .dataa(\vga_ctrl_inst|cnt_v [0]), + .datab(\vga_ctrl_inst|cnt_v [3]), + .datac(\vga_ctrl_inst|cnt_v [1]), + .datad(\vga_ctrl_inst|cnt_v [2]), + .cin(gnd), + .combout(\vga_ctrl_inst|LessThan6~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|LessThan6~0 .lut_mask = 16'h0013; +defparam \vga_ctrl_inst|LessThan6~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N6 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~1 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~1_combout = (\vga_ctrl_inst|LessThan6~0_combout & ((\vga_ctrl_inst|cnt_v [4] & ((!\vga_ctrl_inst|always1~0_combout ))) # (!\vga_ctrl_inst|cnt_v [4] & (!\vga_ctrl_inst|pix_data_req~0_combout )))) # +// (!\vga_ctrl_inst|LessThan6~0_combout & (((!\vga_ctrl_inst|always1~0_combout )))) + + .dataa(\vga_ctrl_inst|pix_data_req~0_combout ), + .datab(\vga_ctrl_inst|always1~0_combout ), + .datac(\vga_ctrl_inst|LessThan6~0_combout ), + .datad(\vga_ctrl_inst|cnt_v [4]), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~1 .lut_mask = 16'h3353; +defparam \vga_ctrl_inst|pix_data_req~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N28 +cycloneive_lcell_comb \vga_ctrl_inst|rgb[1]~0 ( +// Equation(s): +// \vga_ctrl_inst|rgb[1]~0_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [4] & \hdmi_ctrl_inst|encode_inst0|Add4~0_combout ))) + + .dataa(\vga_ctrl_inst|pix_data_req~4_combout ), + .datab(\vga_ctrl_inst|pix_data_req~1_combout ), + .datac(\vga_pic_inst|pix_data [4]), + .datad(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|rgb[1]~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|rgb[1]~0 .lut_mask = 16'h8000; +defparam \vga_ctrl_inst|rgb[1]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N29 +dffeas \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|rgb[1]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N30 +cycloneive_lcell_comb \vga_ctrl_inst|rgb[2]~1 ( +// Equation(s): +// \vga_ctrl_inst|rgb[2]~1_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~1_combout & \vga_pic_inst|pix_data [0]))) + + .dataa(\vga_ctrl_inst|pix_data_req~4_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .datac(\vga_ctrl_inst|pix_data_req~1_combout ), + .datad(\vga_pic_inst|pix_data [0]), + .cin(gnd), + .combout(\vga_ctrl_inst|rgb[2]~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|rgb[2]~1 .lut_mask = 16'h8000; +defparam \vga_ctrl_inst|rgb[2]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N31 +dffeas \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|rgb[2]~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add14~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]) # ((\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]) # (\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2])) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]), + .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]), + .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add14~0 .lut_mask = 16'hFFFC; +defparam \hdmi_ctrl_inst|encode_inst0|Add14~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout = !\hdmi_ctrl_inst|encode_inst0|Add14~0_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 .lut_mask = 16'h00FF; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N9 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & VCC)) +// \hdmi_ctrl_inst|encode_inst0|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [1] & \hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [1]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add19~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add19~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add19~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst0|Add19~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [2] & (!\hdmi_ctrl_inst|encode_inst0|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|Add19~1 ) # (GND))) +// \hdmi_ctrl_inst|encode_inst0|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst0|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2])) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add19~1 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add19~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add19~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add19~2 .lut_mask = 16'h5A5F; +defparam \hdmi_ctrl_inst|encode_inst0|Add19~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add19~6_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (\hdmi_ctrl_inst|encode_inst0|Add19~5 ) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst0|Add19~5 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add19~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add19~6 .lut_mask = 16'h3C3C; +defparam \hdmi_ctrl_inst|encode_inst0|Add19~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [1] & ((\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] $ (VCC))) +// \hdmi_ctrl_inst|encode_inst0|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [1]) # (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [1]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add22~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add22~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add22~0 .lut_mask = 16'h99EE; +defparam \hdmi_ctrl_inst|encode_inst0|Add22~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & (!\hdmi_ctrl_inst|encode_inst0|Add22~1 )) +// \hdmi_ctrl_inst|encode_inst0|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst0|cnt [2] & !\hdmi_ctrl_inst|encode_inst0|Add22~1 )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add22~1 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add22~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add22~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add22~2 .lut_mask = 16'hA505; +defparam \hdmi_ctrl_inst|encode_inst0|Add22~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add22~6_combout = \hdmi_ctrl_inst|encode_inst0|Add22~5 $ (!\hdmi_ctrl_inst|encode_inst0|cnt [4]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|cnt [4]), + .cin(\hdmi_ctrl_inst|encode_inst0|Add22~5 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add22~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add22~6 .lut_mask = 16'hF00F; +defparam \hdmi_ctrl_inst|encode_inst0|Add22~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst0|Add19~6_combout )) # +// (!\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst0|Add22~6_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|Add19~6_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|Add22~6_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~2 .lut_mask = 16'hFBEA; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 .lut_mask = 16'h33AA; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N17 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~9 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & +// ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add22~2_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add22~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~9_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~9 .lut_mask = 16'hAF44; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~9 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~10 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~9_combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2])) # (!\hdmi_ctrl_inst|encode_inst0|Add16~9_combout & +// ((\hdmi_ctrl_inst|encode_inst0|Add19~2_combout ))))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|Add16~9_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|Add19~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|Add16~9_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~10_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~10 .lut_mask = 16'h5FC0; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~10 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add12~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add12~0_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & ((!\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4])) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]), + .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]), + .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add12~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add12~0 .lut_mask = 16'h0CFC; +defparam \hdmi_ctrl_inst|encode_inst0|Add12~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N29 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|Add12~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add14~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4] $ (!\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]), + .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]), + .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add14~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add14~1 .lut_mask = 16'hC030; +defparam \hdmi_ctrl_inst|encode_inst0|Add14~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N19 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|Add14~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~13 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & +// ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add22~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add22~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~13_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~13 .lut_mask = 16'hAF44; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~13 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~14 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~13_combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~13_combout & +// (\hdmi_ctrl_inst|encode_inst0|Add19~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|Add16~13_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add19~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]), + .datad(\hdmi_ctrl_inst|encode_inst0|Add16~13_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~14 .lut_mask = 16'h5F88; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~14 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y22_N1 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~15 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & ((\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & +// (\hdmi_ctrl_inst|encode_inst0|Add15~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add15~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~15_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~15 .lut_mask = 16'hA088; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~15 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal2~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])))) # +// (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Equal2~0 .lut_mask = 16'h8421; +defparam \hdmi_ctrl_inst|encode_inst0|Equal2~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal2~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Equal2~1_combout = (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]), + .datad(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Equal2~1 .lut_mask = 16'h0F00; +defparam \hdmi_ctrl_inst|encode_inst0|Equal2~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ) # (\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(), + .cout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 .lut_mask = 16'h00EE; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst0|Add16~4_combout $ (\hdmi_ctrl_inst|encode_inst0|Add16~6_combout $ (!\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst0|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~4_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ) # (!\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~4_combout & +// (\hdmi_ctrl_inst|encode_inst0|Add16~6_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~4_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ), + .combout(\hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add4~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add4~1_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & \hdmi_ctrl_inst|encode_inst0|Add4~0_combout )) + + .dataa(\vga_ctrl_inst|pix_data_req~4_combout ), + .datab(gnd), + .datac(\vga_ctrl_inst|pix_data_req~1_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add4~1 .lut_mask = 16'hA000; +defparam \hdmi_ctrl_inst|encode_inst0|Add4~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N17 +dffeas \hdmi_ctrl_inst|encode_inst2|de_reg1 ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|de_reg1~q ), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|de_reg1 .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|de_reg1 .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout = \hdmi_ctrl_inst|encode_inst2|de_reg1~q + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|de_reg1~q ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N1 +dffeas \hdmi_ctrl_inst|encode_inst2|de_reg2 ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|de_reg2 .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|de_reg2 .power_up = "low"; +// synopsys translate_on + +// Location: FF_X38_Y22_N13 +dffeas \hdmi_ctrl_inst|encode_inst0|cnt[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|cnt [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|condition_2~combout = (\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout & (((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3]))) # +// (!\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]), + .datac(\hdmi_ctrl_inst|encode_inst0|cnt [3]), + .datad(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|condition_2 .lut_mask = 16'h3B0A; +defparam \hdmi_ctrl_inst|encode_inst0|condition_2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~6 .lut_mask = 16'hBFAA; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst0|Add16~1_combout $ (\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst0|Add16~2_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~1_combout ), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|Add16~2_combout ), + .cin(\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 ), + .combout(\hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 .lut_mask = 16'hA55A; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X38_Y22_N15 +dffeas \hdmi_ctrl_inst|encode_inst0|cnt[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|cnt [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & \hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))) # +// (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]) # ((!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & \hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|condition_3~0 .lut_mask = 16'h7150; +defparam \hdmi_ctrl_inst|encode_inst0|condition_3~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (((\hdmi_ctrl_inst|encode_inst0|cnt [4])))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [4] & +// ((\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [4] & (\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]), + .datac(\hdmi_ctrl_inst|encode_inst0|cnt [4]), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|condition_3~2 .lut_mask = 16'hF2C2; +defparam \hdmi_ctrl_inst|encode_inst0|condition_3~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out~1_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [1] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_reg [1]), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out~1 .lut_mask = 16'h87D2; +defparam \hdmi_ctrl_inst|encode_inst0|data_out~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~1_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out~1_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N28 +cycloneive_lcell_comb \vga_ctrl_inst|LessThan0~0 ( +// Equation(s): +// \vga_ctrl_inst|LessThan0~0_combout = (\vga_ctrl_inst|cnt_h [7]) # ((\vga_ctrl_inst|cnt_h [10]) # ((\vga_ctrl_inst|cnt_h [9]) # (\vga_ctrl_inst|cnt_h [11]))) + + .dataa(\vga_ctrl_inst|cnt_h [7]), + .datab(\vga_ctrl_inst|cnt_h [10]), + .datac(\vga_ctrl_inst|cnt_h [9]), + .datad(\vga_ctrl_inst|cnt_h [11]), + .cin(gnd), + .combout(\vga_ctrl_inst|LessThan0~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|LessThan0~0 .lut_mask = 16'hFFFE; +defparam \vga_ctrl_inst|LessThan0~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N26 +cycloneive_lcell_comb \vga_ctrl_inst|LessThan0~1 ( +// Equation(s): +// \vga_ctrl_inst|LessThan0~1_combout = (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|LessThan0~0_combout & ((!\vga_ctrl_inst|cnt_h [5]) # (!\vga_ctrl_inst|cnt_h [6])))) + + .dataa(\vga_ctrl_inst|cnt_h [8]), + .datab(\vga_ctrl_inst|cnt_h [6]), + .datac(\vga_ctrl_inst|cnt_h [5]), + .datad(\vga_ctrl_inst|LessThan0~0_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|LessThan0~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|LessThan0~1 .lut_mask = 16'h0015; +defparam \vga_ctrl_inst|LessThan0~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X38_Y20_N27 +dffeas \hdmi_ctrl_inst|encode_inst2|c0_reg1 ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|LessThan0~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|c0_reg1~q ), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|c0_reg1 .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|c0_reg1 .power_up = "low"; +// synopsys translate_on + +// Location: FF_X38_Y20_N25 +dffeas \hdmi_ctrl_inst|encode_inst2|c0_reg2 ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg1~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2 .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2 .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y22_N9 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst0|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 .lut_mask = 16'h33CC; +defparam \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N25 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [5]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out~4_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_reg [5]), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out~4 .lut_mask = 16'hC35A; +defparam \hdmi_ctrl_inst|encode_inst0|data_out~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~4_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out~4_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N29 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[5] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [5]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[5] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[5] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N14 +cycloneive_lcell_comb \vga_ctrl_inst|LessThan1~0 ( +// Equation(s): +// \vga_ctrl_inst|LessThan1~0_combout = (!\vga_ctrl_inst|cnt_v [2] & (!\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [3] & \vga_ctrl_inst|always1~1_combout ))) + + .dataa(\vga_ctrl_inst|cnt_v [2]), + .datab(\vga_ctrl_inst|cnt_v [9]), + .datac(\vga_ctrl_inst|cnt_v [3]), + .datad(\vga_ctrl_inst|always1~1_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|LessThan1~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|LessThan1~0 .lut_mask = 16'h0100; +defparam \vga_ctrl_inst|LessThan1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N15 +dffeas \hdmi_ctrl_inst|encode_inst2|c1_reg1 ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|LessThan1~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|c1_reg1~q ), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|c1_reg1 .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|c1_reg1 .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout = \hdmi_ctrl_inst|encode_inst2|c1_reg1~q + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|c1_reg1~q ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N5 +dffeas \hdmi_ctrl_inst|encode_inst2|c1_reg2 ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2 .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2 .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|c0_reg2~q $ +// (!\hdmi_ctrl_inst|encode_inst2|c1_reg2~q )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datac(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .datad(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out~7_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out~7 .lut_mask = 16'hACA3; +defparam \hdmi_ctrl_inst|encode_inst0|data_out~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N5 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[9] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out~7_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [9]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[9] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[9] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout = (\hdmi_ctrl_inst|encode_inst0|data_out [9] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_out [9]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 .lut_mask = 16'hC0C0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N1 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_out [5]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 .lut_mask = 16'hCFC0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N13 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_out [5]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 .lut_mask = 16'hCFC0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N7 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2]))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [3]), + .datab(gnd), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 .lut_mask = 16'hAFA0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N3 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [1])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_out [1]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 .lut_mask = 16'hCFC0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N25 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X39_Y22_N25 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~3 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out~3_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datac(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out~3 .lut_mask = 16'hA3A3; +defparam \hdmi_ctrl_inst|encode_inst0|data_out~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N17 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out~3_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|data_out [2]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2])) + + .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|data_out [2]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 .lut_mask = 16'hCACA; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N21 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [0])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(\hdmi_ctrl_inst|encode_inst0|data_out [0]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 .lut_mask = 16'hF3C0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N9 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] .power_up = "low"; +// synopsys translate_on + +// Location: DDIOOUTCELL_X41_Y22_N18 +cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] ( + .datainlo(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]), + .datainhi(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]), + .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clk(gnd), + .ena(vcc), + .areset(gnd), + .sreset(gnd), + .devclrn(devclrn), + .devpor(devpor), + .dataout(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .dfflo(), + .dffhi()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N16 +cycloneive_lcell_comb \vga_pic_inst|LessThan17~2 ( +// Equation(s): +// \vga_pic_inst|LessThan17~2_combout = (!\vga_ctrl_inst|Add2~12_combout & (!\vga_ctrl_inst|Add2~10_combout & \vga_ctrl_inst|pix_data_req~7_combout )) + + .dataa(\vga_ctrl_inst|Add2~12_combout ), + .datab(\vga_ctrl_inst|Add2~10_combout ), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(gnd), + .cin(gnd), + .combout(\vga_pic_inst|LessThan17~2_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|LessThan17~2 .lut_mask = 16'h1010; +defparam \vga_pic_inst|LessThan17~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N24 +cycloneive_lcell_comb \vga_pic_inst|pix_data[9]~15 ( +// Equation(s): +// \vga_pic_inst|pix_data[9]~15_combout = (\vga_pic_inst|pix_data[9]~14_combout & (!\vga_ctrl_inst|Add2~18_combout & (!\vga_ctrl_inst|Add2~16_combout & \vga_pic_inst|LessThan17~2_combout ))) + + .dataa(\vga_pic_inst|pix_data[9]~14_combout ), + .datab(\vga_ctrl_inst|Add2~18_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_pic_inst|LessThan17~2_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data[9]~15_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[9]~15 .lut_mask = 16'h0200; +defparam \vga_pic_inst|pix_data[9]~15 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N4 +cycloneive_lcell_comb \vga_pic_inst|pix_data~35 ( +// Equation(s): +// \vga_pic_inst|pix_data~35_combout = (\vga_pic_inst|LessThan10~0_combout ) # ((\vga_ctrl_inst|Add2~18_combout ) # ((\vga_pic_inst|pix_data[13]~11_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout ))) + + .dataa(\vga_pic_inst|LessThan10~0_combout ), + .datab(\vga_ctrl_inst|Add2~18_combout ), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_pic_inst|pix_data[13]~11_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~35_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~35 .lut_mask = 16'hFFEF; +defparam \vga_pic_inst|pix_data~35 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N30 +cycloneive_lcell_comb \vga_pic_inst|pix_data~36 ( +// Equation(s): +// \vga_pic_inst|pix_data~36_combout = (\vga_pic_inst|always0~2_combout & (!\vga_ctrl_inst|Add2~20_combout & (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_pic_inst|pix_data[9]~15_combout ))) + + .dataa(\vga_pic_inst|always0~2_combout ), + .datab(\vga_ctrl_inst|Add2~20_combout ), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_pic_inst|pix_data[9]~15_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~36_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~36 .lut_mask = 16'h0020; +defparam \vga_pic_inst|pix_data~36 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N6 +cycloneive_lcell_comb \vga_pic_inst|pix_data~21 ( +// Equation(s): +// \vga_pic_inst|pix_data~21_combout = (\vga_pic_inst|pix_data~12_combout & (!\vga_ctrl_inst|Add2~12_combout & ((\vga_pic_inst|LessThan14~0_combout ) # (\vga_pic_inst|always0~0_combout )))) + + .dataa(\vga_pic_inst|LessThan14~0_combout ), + .datab(\vga_pic_inst|pix_data~12_combout ), + .datac(\vga_ctrl_inst|Add2~12_combout ), + .datad(\vga_pic_inst|always0~0_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~21_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~21 .lut_mask = 16'h0C08; +defparam \vga_pic_inst|pix_data~21 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N22 +cycloneive_lcell_comb \vga_pic_inst|pix_data~26 ( +// Equation(s): +// \vga_pic_inst|pix_data~26_combout = (\vga_pic_inst|pix_data~36_combout & ((\vga_pic_inst|pix_data~25_combout ) # ((\vga_pic_inst|pix_data~35_combout & \vga_pic_inst|pix_data~21_combout )))) + + .dataa(\vga_pic_inst|pix_data~25_combout ), + .datab(\vga_pic_inst|pix_data~35_combout ), + .datac(\vga_pic_inst|pix_data~36_combout ), + .datad(\vga_pic_inst|pix_data~21_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~26_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~26 .lut_mask = 16'hE0A0; +defparam \vga_pic_inst|pix_data~26 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y23_N8 +cycloneive_lcell_comb \vga_ctrl_inst|pix_x[11]~0 ( +// Equation(s): +// \vga_ctrl_inst|pix_x[11]~0_combout = (\vga_ctrl_inst|Add2~20_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_ctrl_inst|Add2~20_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_x[11]~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_x[11]~0 .lut_mask = 16'hFF0F; +defparam \vga_ctrl_inst|pix_x[11]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N8 +cycloneive_lcell_comb \vga_pic_inst|pix_data~27 ( +// Equation(s): +// \vga_pic_inst|pix_data~27_combout = (\vga_pic_inst|pix_data~26_combout ) # ((!\vga_pic_inst|always0~2_combout & (!\vga_pic_inst|pix_data[9]~15_combout & !\vga_ctrl_inst|pix_x[11]~0_combout ))) + + .dataa(\vga_pic_inst|always0~2_combout ), + .datab(\vga_pic_inst|pix_data[9]~15_combout ), + .datac(\vga_pic_inst|pix_data~26_combout ), + .datad(\vga_ctrl_inst|pix_x[11]~0_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~27_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~27 .lut_mask = 16'hF0F1; +defparam \vga_pic_inst|pix_data~27 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X38_Y21_N9 +dffeas \vga_pic_inst|pix_data[10] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_pic_inst|pix_data~27_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_pic_inst|pix_data [10]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[10] .is_wysiwyg = "true"; +defparam \vga_pic_inst|pix_data[10] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N14 +cycloneive_lcell_comb \vga_ctrl_inst|rgb[10]~2 ( +// Equation(s): +// \vga_ctrl_inst|rgb[10]~2_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_pic_inst|pix_data [10] & \vga_ctrl_inst|pix_data_req~1_combout ))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .datab(\vga_ctrl_inst|pix_data_req~4_combout ), + .datac(\vga_pic_inst|pix_data [10]), + .datad(\vga_ctrl_inst|pix_data_req~1_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|rgb[10]~2_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|rgb[10]~2 .lut_mask = 16'h8000; +defparam \vga_ctrl_inst|rgb[10]~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N15 +dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|rgb[10]~2_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N0 +cycloneive_lcell_comb \vga_pic_inst|pix_data~29 ( +// Equation(s): +// \vga_pic_inst|pix_data~29_combout = (\vga_ctrl_inst|pix_data_req~7_combout & ((\vga_ctrl_inst|Add2~14_combout & (!\vga_ctrl_inst|Add2~10_combout & !\vga_ctrl_inst|Add2~12_combout )) # (!\vga_ctrl_inst|Add2~14_combout & +// ((\vga_ctrl_inst|Add2~12_combout ))))) + + .dataa(\vga_ctrl_inst|Add2~14_combout ), + .datab(\vga_ctrl_inst|Add2~10_combout ), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_ctrl_inst|Add2~12_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~29_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~29 .lut_mask = 16'h5020; +defparam \vga_pic_inst|pix_data~29 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N26 +cycloneive_lcell_comb \vga_pic_inst|pix_data~30 ( +// Equation(s): +// \vga_pic_inst|pix_data~30_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~20_combout & (!\vga_ctrl_inst|Add2~16_combout & !\vga_ctrl_inst|Add2~18_combout ))) + + .dataa(\vga_ctrl_inst|pix_data_req~7_combout ), + .datab(\vga_ctrl_inst|Add2~20_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_ctrl_inst|Add2~18_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~30_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~30 .lut_mask = 16'h0002; +defparam \vga_pic_inst|pix_data~30 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N4 +cycloneive_lcell_comb \vga_pic_inst|pix_data~31 ( +// Equation(s): +// \vga_pic_inst|pix_data~31_combout = (\vga_pic_inst|LessThan17~3_combout ) # ((\vga_pic_inst|pix_data~29_combout & \vga_pic_inst|pix_data~30_combout )) + + .dataa(gnd), + .datab(\vga_pic_inst|pix_data~29_combout ), + .datac(\vga_pic_inst|pix_data~30_combout ), + .datad(\vga_pic_inst|LessThan17~3_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~31_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~31 .lut_mask = 16'hFFC0; +defparam \vga_pic_inst|pix_data~31 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X38_Y21_N5 +dffeas \vga_pic_inst|pix_data[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_pic_inst|pix_data~31_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_pic_inst|pix_data [8]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[8] .is_wysiwyg = "true"; +defparam \vga_pic_inst|pix_data[8] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N4 +cycloneive_lcell_comb \vga_ctrl_inst|rgb[6]~4 ( +// Equation(s): +// \vga_ctrl_inst|rgb[6]~4_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~4_combout & \vga_pic_inst|pix_data [8]))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .datab(\vga_ctrl_inst|pix_data_req~1_combout ), + .datac(\vga_ctrl_inst|pix_data_req~4_combout ), + .datad(\vga_pic_inst|pix_data [8]), + .cin(gnd), + .combout(\vga_ctrl_inst|rgb[6]~4_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|rgb[6]~4 .lut_mask = 16'h8000; +defparam \vga_ctrl_inst|rgb[6]~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N5 +dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|rgb[6]~4_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add5~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add5~0_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~1_combout & (\vga_pic_inst|pix_data [8] & ((\vga_pic_inst|pix_data [9]) # (\vga_pic_inst|pix_data [10])))) + + .dataa(\vga_pic_inst|pix_data [9]), + .datab(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ), + .datac(\vga_pic_inst|pix_data [10]), + .datad(\vga_pic_inst|pix_data [8]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add5~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add5~0 .lut_mask = 16'hC800; +defparam \hdmi_ctrl_inst|encode_inst1|Add5~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N27 +dffeas \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|Add5~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])))) # +// (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]), + .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]), + .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add14~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add14~1 .lut_mask = 16'h6006; +defparam \hdmi_ctrl_inst|encode_inst1|Add14~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N25 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|Add14~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N2 +cycloneive_lcell_comb \vga_pic_inst|pix_data~28 ( +// Equation(s): +// \vga_pic_inst|pix_data~28_combout = (\vga_pic_inst|pix_data~36_combout & ((\vga_pic_inst|pix_data~25_combout ) # ((\vga_pic_inst|pix_data~35_combout & \vga_pic_inst|pix_data~21_combout )))) + + .dataa(\vga_pic_inst|pix_data~25_combout ), + .datab(\vga_pic_inst|pix_data~35_combout ), + .datac(\vga_pic_inst|pix_data~36_combout ), + .datad(\vga_pic_inst|pix_data~21_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~28_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~28 .lut_mask = 16'hE0A0; +defparam \vga_pic_inst|pix_data~28 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X38_Y21_N3 +dffeas \vga_pic_inst|pix_data[9] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_pic_inst|pix_data~28_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_pic_inst|pix_data [9]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[9] .is_wysiwyg = "true"; +defparam \vga_pic_inst|pix_data[9] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N8 +cycloneive_lcell_comb \vga_ctrl_inst|rgb[7]~3 ( +// Equation(s): +// \vga_ctrl_inst|rgb[7]~3_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~4_combout & \vga_pic_inst|pix_data [9]))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .datab(\vga_ctrl_inst|pix_data_req~1_combout ), + .datac(\vga_ctrl_inst|pix_data_req~4_combout ), + .datad(\vga_pic_inst|pix_data [9]), + .cin(gnd), + .combout(\vga_ctrl_inst|rgb[7]~3_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|rgb[7]~3 .lut_mask = 16'h8000; +defparam \vga_ctrl_inst|rgb[7]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N9 +dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|rgb[7]~3_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add13~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add13~0_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4])) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & +// ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))))) # (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & +// (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4])))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]), + .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add13~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add13~0 .lut_mask = 16'hF690; +defparam \hdmi_ctrl_inst|encode_inst1|Add13~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N13 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|Add13~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add13~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add13~1_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & \hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & +// ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]) # (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]), + .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add13~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add13~1 .lut_mask = 16'hF330; +defparam \hdmi_ctrl_inst|encode_inst1|Add13~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N29 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|Add13~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & !\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]))) # +// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|condition_3~0 .lut_mask = 16'h08AE; +defparam \hdmi_ctrl_inst|encode_inst1|condition_3~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & \hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]))) # +// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]) # ((!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & \hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|condition_3~1 .lut_mask = 16'h7510; +defparam \hdmi_ctrl_inst|encode_inst1|condition_3~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add14~2_combout = (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & !\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]), + .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]), + .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add14~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add14~2 .lut_mask = 16'h0001; +defparam \hdmi_ctrl_inst|encode_inst1|Add14~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N11 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|Add14~2_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X33_Y21_N7 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [0] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [0] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & VCC)) +// \hdmi_ctrl_inst|encode_inst1|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [0] & \hdmi_ctrl_inst|encode_inst1|q_m_n1 [0])) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [0]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add15~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add15~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add15~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst1|Add15~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] $ (\hdmi_ctrl_inst|encode_inst1|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst1|Add15~3 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst1|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|cnt +// [2] & !\hdmi_ctrl_inst|encode_inst1|Add15~3 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]), + .datab(\hdmi_ctrl_inst|encode_inst1|cnt [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add15~3 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add15~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add15~4 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst1|Add15~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (((!\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & !\hdmi_ctrl_inst|encode_inst1|data_in_reg [7])) # (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]))) # +// (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]) # ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]) # (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]), + .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]), + .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add14~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add14~0 .lut_mask = 16'h37FE; +defparam \hdmi_ctrl_inst|encode_inst1|Add14~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N17 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|Add14~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst1|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & +// (\hdmi_ctrl_inst|encode_inst1|Add23~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|Add23~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & +// (!\hdmi_ctrl_inst|encode_inst1|Add23~1 )))) +// \hdmi_ctrl_inst|encode_inst1|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst1|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & +// ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add23~1 )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add23~1 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add23~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add23~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add23~2 .lut_mask = 16'h694D; +defparam \hdmi_ctrl_inst|encode_inst1|Add23~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~9 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ) # (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~2_combout & ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add23~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~9_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~9 .lut_mask = 16'hAAE4; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~9 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~10 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~9_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst1|Add16~9_combout & (\hdmi_ctrl_inst|encode_inst1|Add20~2_combout & ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add20~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|Add16~9_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~10_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~10 .lut_mask = 16'hCAF0; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~10 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N23 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~15 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|Add17~0_combout )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & +// ((\hdmi_ctrl_inst|encode_inst1|Add15~0_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add17~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add15~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~15_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~15 .lut_mask = 16'hA0C0; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~15 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & (\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & +// (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & +// ((\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst1|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & +// ((!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~16_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add16~15_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ), + .combout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X36_Y21_N11 +dffeas \hdmi_ctrl_inst|encode_inst1|cnt[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|cnt [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal1~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|cnt [0] & (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & !\hdmi_ctrl_inst|encode_inst1|cnt [4]))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [1]), + .datab(\hdmi_ctrl_inst|encode_inst1|cnt [0]), + .datac(\hdmi_ctrl_inst|encode_inst1|cnt [2]), + .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Equal1~0 .lut_mask = 16'h0001; +defparam \hdmi_ctrl_inst|encode_inst1|Equal1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal1~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Equal1~1_combout = (\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout & !\hdmi_ctrl_inst|encode_inst1|cnt [3]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst1|cnt [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Equal1~1 .lut_mask = 16'h00CC; +defparam \hdmi_ctrl_inst|encode_inst1|Equal1~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ) # (\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(), + .cout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 .lut_mask = 16'h00EE; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst1|Add16~14_combout $ (\hdmi_ctrl_inst|encode_inst1|Add16~12_combout $ (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst1|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~14_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~12_combout ) # (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~14_combout & +// (\hdmi_ctrl_inst|encode_inst1|Add16~12_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~14_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add16~12_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ), + .combout(\hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & (\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & +// (!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & +// ((\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst1|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & +// ((!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~8_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add16~10_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ), + .combout(\hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X36_Y21_N15 +dffeas \hdmi_ctrl_inst|encode_inst1|cnt[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|cnt [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~3 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst1|Add20~4_combout )) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst1|Add23~4_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add20~4_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|Add23~4_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~3 .lut_mask = 16'hEE50; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~3_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~3_combout +// & (\hdmi_ctrl_inst|encode_inst1|Add17~6_combout & ((\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add17~6_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|Add16~3_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~4 .lut_mask = 16'hCAF0; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst1|Add16~6_combout $ (\hdmi_ctrl_inst|encode_inst1|Add16~4_combout $ (!\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst1|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~6_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~4_combout ) # (!\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~6_combout & +// (\hdmi_ctrl_inst|encode_inst1|Add16~4_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~6_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add16~4_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ), + .combout(\hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X36_Y21_N17 +dffeas \hdmi_ctrl_inst|encode_inst1|cnt[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|cnt [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal2~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] $ (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])))) # +// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] $ (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Equal2~0 .lut_mask = 16'h8241; +defparam \hdmi_ctrl_inst|encode_inst1|Equal2~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|condition_2~combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & +// ((\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ) # ((!\hdmi_ctrl_inst|encode_inst1|cnt [3] & \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]), + .datab(\hdmi_ctrl_inst|encode_inst1|cnt [3]), + .datac(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|condition_2 .lut_mask = 16'h7350; +defparam \hdmi_ctrl_inst|encode_inst1|condition_2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 .lut_mask = 16'h22EE; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~11 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst1|Add19~0_combout ) # (\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # +// (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst1|Add22~0_combout & ((!\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add22~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add19~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~11_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~11 .lut_mask = 16'hF0CA; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~11 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~12 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~11_combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~11_combout & +// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1])))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add16~11_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]), + .datad(\hdmi_ctrl_inst|encode_inst1|Add16~11_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~12_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~12 .lut_mask = 16'h5F22; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~12 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X36_Y21_N13 +dffeas \hdmi_ctrl_inst|encode_inst1|cnt[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|cnt [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add22~6_combout = \hdmi_ctrl_inst|encode_inst1|Add22~5 $ (!\hdmi_ctrl_inst|encode_inst1|cnt [4]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]), + .cin(\hdmi_ctrl_inst|encode_inst1|Add22~5 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add22~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add22~6 .lut_mask = 16'hF00F; +defparam \hdmi_ctrl_inst|encode_inst1|Add22~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst1|Add19~6_combout )) # +// (!\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst1|Add22~6_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add19~6_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add22~6_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~2 .lut_mask = 16'hFFAC; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst1|Add16~1_combout $ (\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst1|Add16~2_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~1_combout ), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst1|Add16~2_combout ), + .cin(\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 ), + .combout(\hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 .lut_mask = 16'hA55A; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X36_Y21_N19 +dffeas \hdmi_ctrl_inst|encode_inst1|cnt[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|cnt [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (((\hdmi_ctrl_inst|encode_inst1|cnt [4])))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst1|cnt [4] & +// (\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [4] & ((\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]), + .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|condition_3~2 .lut_mask = 16'hEE50; +defparam \hdmi_ctrl_inst|encode_inst1|condition_3~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N19 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out~1_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [3]), + .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out~1 .lut_mask = 16'hA53C; +defparam \hdmi_ctrl_inst|encode_inst1|data_out~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~1_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out~1_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N1 +dffeas \hdmi_ctrl_inst|encode_inst1|data_out[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_out [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~3 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out~3_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [5]), + .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out~3 .lut_mask = 16'h9A56; +defparam \hdmi_ctrl_inst|encode_inst1|data_out~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~3_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out~3_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N29 +dffeas \hdmi_ctrl_inst|encode_inst1|data_out[5] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_out [5]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[5] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[5] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|data_out [5]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3])) + + .dataa(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3]), + .datab(\hdmi_ctrl_inst|encode_inst1|data_out [5]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 .lut_mask = 16'hCACA; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N21 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|data_out [3]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 .lut_mask = 16'hCFC0; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N3 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|data_out [3]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 .lut_mask = 16'hCFC0; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N25 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )) + + .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out~7_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out~7 .lut_mask = 16'hAF05; +defparam \hdmi_ctrl_inst|encode_inst1|data_out~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N29 +dffeas \hdmi_ctrl_inst|encode_inst1|data_out[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|data_out~7_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_out [8]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[8] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[8] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst1|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|data_out [8]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 .lut_mask = 16'hCC00; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N27 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4]))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [2]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 .lut_mask = 16'hB8B8; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N23 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3]))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [4]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 .lut_mask = 16'hB8B8; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N19 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2]))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [2]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 .lut_mask = 16'hBB88; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N15 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [0])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1]))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [0]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 .lut_mask = 16'hB8B8; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N3 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] .power_up = "low"; +// synopsys translate_on + +// Location: DDIOOUTCELL_X41_Y23_N11 +cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] ( + .datainlo(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]), + .datainhi(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]), + .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clk(gnd), + .ena(vcc), + .areset(gnd), + .sreset(gnd), + .devclrn(devclrn), + .devpor(devpor), + .dataout(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .dfflo(), + .dffhi()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N14 +cycloneive_lcell_comb \vga_pic_inst|pix_data~33 ( +// Equation(s): +// \vga_pic_inst|pix_data~33_combout = (\vga_pic_inst|pix_data~37_combout & ((\vga_pic_inst|pix_data[13]~10_combout ) # (\vga_pic_inst|pix_data~19_combout ))) + + .dataa(\vga_pic_inst|pix_data~37_combout ), + .datab(\vga_pic_inst|pix_data[13]~10_combout ), + .datac(gnd), + .datad(\vga_pic_inst|pix_data~19_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~33_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~33 .lut_mask = 16'hAA88; +defparam \vga_pic_inst|pix_data~33 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N15 +dffeas \vga_pic_inst|pix_data[13] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_pic_inst|pix_data~33_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_pic_inst|pix_data [13]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[13] .is_wysiwyg = "true"; +defparam \vga_pic_inst|pix_data[13] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N22 +cycloneive_lcell_comb \vga_ctrl_inst|rgb[13]~6 ( +// Equation(s): +// \vga_ctrl_inst|rgb[13]~6_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [13] & \hdmi_ctrl_inst|encode_inst0|Add4~0_combout ))) + + .dataa(\vga_ctrl_inst|pix_data_req~4_combout ), + .datab(\vga_ctrl_inst|pix_data_req~1_combout ), + .datac(\vga_pic_inst|pix_data [13]), + .datad(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|rgb[13]~6_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|rgb[13]~6 .lut_mask = 16'h8000; +defparam \vga_ctrl_inst|rgb[13]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N23 +dffeas \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|rgb[13]~6_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N4 +cycloneive_lcell_comb \vga_pic_inst|pix_data~32 ( +// Equation(s): +// \vga_pic_inst|pix_data~32_combout = (\vga_pic_inst|pix_data~37_combout & (!\vga_ctrl_inst|pix_x[11]~0_combout & ((\vga_pic_inst|pix_data[13]~9_combout ) # (!\vga_pic_inst|pix_data~13_combout )))) + + .dataa(\vga_pic_inst|pix_data~37_combout ), + .datab(\vga_pic_inst|pix_data~13_combout ), + .datac(\vga_pic_inst|pix_data[13]~9_combout ), + .datad(\vga_ctrl_inst|pix_x[11]~0_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~32_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~32 .lut_mask = 16'h00A2; +defparam \vga_pic_inst|pix_data~32 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N5 +dffeas \vga_pic_inst|pix_data[15] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_pic_inst|pix_data~32_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_pic_inst|pix_data [15]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[15] .is_wysiwyg = "true"; +defparam \vga_pic_inst|pix_data[15] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add6~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add6~0_combout = (\vga_ctrl_inst|pix_data_req~3_combout & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_pic_inst|pix_data [13] & \vga_pic_inst|pix_data [15]))) + + .dataa(\vga_ctrl_inst|pix_data_req~3_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .datac(\vga_pic_inst|pix_data [13]), + .datad(\vga_pic_inst|pix_data [15]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add6~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add6~0 .lut_mask = 16'h8000; +defparam \hdmi_ctrl_inst|encode_inst2|Add6~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N27 +dffeas \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|Add6~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N20 +cycloneive_lcell_comb \vga_ctrl_inst|rgb[12]~5 ( +// Equation(s): +// \vga_ctrl_inst|rgb[12]~5_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [15] & \hdmi_ctrl_inst|encode_inst0|Add4~0_combout ))) + + .dataa(\vga_ctrl_inst|pix_data_req~4_combout ), + .datab(\vga_ctrl_inst|pix_data_req~1_combout ), + .datac(\vga_pic_inst|pix_data [15]), + .datad(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|rgb[12]~5_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|rgb[12]~5 .lut_mask = 16'h8000; +defparam \vga_ctrl_inst|rgb[12]~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N21 +dffeas \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|rgb[12]~5_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add12~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add12~0_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & (!\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2])) # (!\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & ((\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]), + .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add12~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add12~0 .lut_mask = 16'h3F0C; +defparam \hdmi_ctrl_inst|encode_inst2|Add12~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y24_N5 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|Add12~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add14~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]) # ((\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]) # (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]), + .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add14~0 .lut_mask = 16'hFFFC; +defparam \hdmi_ctrl_inst|encode_inst2|Add14~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y24_N1 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add12~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add12~1_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] & !\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add12~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add12~1 .lut_mask = 16'h00F0; +defparam \hdmi_ctrl_inst|encode_inst2|Add12~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y24_N23 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|Add12~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & !\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))) # +// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|condition_3~0 .lut_mask = 16'h20F2; +defparam \hdmi_ctrl_inst|encode_inst2|condition_3~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y24_N7 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal2~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2])))) # +// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2])))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Equal2~0 .lut_mask = 16'h9009; +defparam \hdmi_ctrl_inst|encode_inst2|Equal2~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] & VCC)) +// \hdmi_ctrl_inst|encode_inst2|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [1] & \hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) + + .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add19~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add19~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add19~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst2|Add19~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~5 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~5_combout = (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ))) # +// (!\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst2|Add22~4_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add22~4_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~5 .lut_mask = 16'h0C0A; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datac(\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~6 .lut_mask = 16'hF7F0; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal1~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst2|cnt [4] & (!\hdmi_ctrl_inst|encode_inst2|cnt [0] & (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & !\hdmi_ctrl_inst|encode_inst2|cnt [2]))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [4]), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [0]), + .datac(\hdmi_ctrl_inst|encode_inst2|cnt [1]), + .datad(\hdmi_ctrl_inst|encode_inst2|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Equal1~0 .lut_mask = 16'h0001; +defparam \hdmi_ctrl_inst|encode_inst2|Equal1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal1~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Equal1~1_combout = (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & \hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst2|cnt [3]), + .datad(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Equal1~1 .lut_mask = 16'h0F00; +defparam \hdmi_ctrl_inst|encode_inst2|Equal1~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ) # (\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(), + .cout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 .lut_mask = 16'h00EE; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & (\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & +// (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & +// ((\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst2|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & +// ((!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~16_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add16~15_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ), + .combout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y24_N3 +dffeas \hdmi_ctrl_inst|encode_inst2|cnt[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|cnt [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst2|cnt [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst2|cnt [0] & VCC)) +// \hdmi_ctrl_inst|encode_inst2|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & \hdmi_ctrl_inst|encode_inst2|cnt [0])) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add15~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add15~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add15~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst2|Add15~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~15 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst2|Add17~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] & +// ((\hdmi_ctrl_inst|encode_inst2|Add15~0_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add17~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add15~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~15_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~15 .lut_mask = 16'hAC00; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~15 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst2|Add16~12_combout $ (\hdmi_ctrl_inst|encode_inst2|Add16~14_combout $ (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst2|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~12_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ) # (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~12_combout & +// (\hdmi_ctrl_inst|encode_inst2|Add16~14_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~12_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ), + .combout(\hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & (\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & +// (!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & +// ((\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst2|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & +// ((!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~10_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add16~8_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ), + .combout(\hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst2|Add16~4_combout $ (\hdmi_ctrl_inst|encode_inst2|Add16~6_combout $ (!\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst2|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~4_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~6_combout ) # (!\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~4_combout & +// (\hdmi_ctrl_inst|encode_inst2|Add16~6_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~4_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add16~6_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ), + .combout(\hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y24_N9 +dffeas \hdmi_ctrl_inst|encode_inst2|cnt[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|cnt [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout = !\hdmi_ctrl_inst|encode_inst2|Add14~0_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 .lut_mask = 16'h00FF; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y24_N3 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|condition_2~combout = (\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout & (((\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3]))) # +// (!\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout & (\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|cnt [3]), + .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|condition_2 .lut_mask = 16'h0ACE; +defparam \hdmi_ctrl_inst|encode_inst2|condition_2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 .lut_mask = 16'h33AA; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~14 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~13_combout & (((!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~13_combout +// & (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add19~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~13_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]), + .datad(\hdmi_ctrl_inst|encode_inst2|Add19~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~14 .lut_mask = 16'h6E2A; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~14 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y24_N5 +dffeas \hdmi_ctrl_inst|encode_inst2|cnt[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|cnt [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst2|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & +// (\hdmi_ctrl_inst|encode_inst2|Add23~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|Add23~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & +// (!\hdmi_ctrl_inst|encode_inst2|Add23~1 )))) +// \hdmi_ctrl_inst|encode_inst2|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst2|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & +// ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add23~1 )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add23~1 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add23~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add23~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add23~2 .lut_mask = 16'h694D; +defparam \hdmi_ctrl_inst|encode_inst2|Add23~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst2|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & +// ((\hdmi_ctrl_inst|encode_inst2|Add20~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst2|Add20~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & +// (!\hdmi_ctrl_inst|encode_inst2|Add20~1 )))) +// \hdmi_ctrl_inst|encode_inst2|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((!\hdmi_ctrl_inst|encode_inst2|Add20~1 ) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & +// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst2|Add20~1 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add20~1 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add20~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add20~2 .lut_mask = 16'h692B; +defparam \hdmi_ctrl_inst|encode_inst2|Add20~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst2|Add23~2_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add23~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~7_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~7 .lut_mask = 16'hFA44; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~7_combout & (((\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~7_combout +// & (\hdmi_ctrl_inst|encode_inst2|Add17~4_combout & ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add17~4_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|Add16~7_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~8 .lut_mask = 16'hCAF0; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y24_N7 +dffeas \hdmi_ctrl_inst|encode_inst2|cnt[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|cnt [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[2] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X39_Y24_N9 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add17~8_combout = \hdmi_ctrl_inst|encode_inst2|Add17~7 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]), + .cin(\hdmi_ctrl_inst|encode_inst2|Add17~7 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add17~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add17~8 .lut_mask = 16'hF00F; +defparam \hdmi_ctrl_inst|encode_inst2|Add17~8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst2|Add23~5 + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst2|Add23~5 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add23~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add23~6 .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst2|Add23~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add17~8_combout ) # ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|Add23~6_combout & !\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add17~8_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|Add23~6_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~0 .lut_mask = 16'hAAD8; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst2|Add20~5 + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst2|Add20~5 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add20~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add20~6 .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst2|Add20~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add15~8_combout ) # ((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~0_combout +// & (((\hdmi_ctrl_inst|encode_inst2|Add20~6_combout & \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add15~8_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add16~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|Add20~6_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~1 .lut_mask = 16'hB8CC; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst2|Add16~2_combout $ (\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst2|Add16~1_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~2_combout ), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|Add16~1_combout ), + .cin(\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 ), + .combout(\hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 .lut_mask = 16'hA55A; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y24_N11 +dffeas \hdmi_ctrl_inst|encode_inst2|cnt[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|cnt [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [4] & (((\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ) # (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [4] & +// (\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|cnt [4]), + .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|condition_3~2 .lut_mask = 16'hF0CA; +defparam \hdmi_ctrl_inst|encode_inst2|condition_3~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y24_N21 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out~1_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [1] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [1]), + .datad(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out~1 .lut_mask = 16'hB41E; +defparam \hdmi_ctrl_inst|encode_inst2|data_out~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~1_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out~1_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N1 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 .lut_mask = 16'h0FF0; +defparam \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y24_N29 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [5]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out~4_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [5]), + .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out~4 .lut_mask = 16'h939C; +defparam \hdmi_ctrl_inst|encode_inst2|data_out~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~4_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out~4_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N17 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[5] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [5]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[5] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[5] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst2|c1_reg2~q $ +// ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .datab(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ), + .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out~7_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out~7 .lut_mask = 16'hEB41; +defparam \hdmi_ctrl_inst|encode_inst2|data_out~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N19 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[9] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out~7_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [9]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[9] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[9] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout = (\hdmi_ctrl_inst|encode_inst2|data_out [9] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_out [9]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 .lut_mask = 16'hC0C0; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N13 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_out [5]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 .lut_mask = 16'hCCF0; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N9 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_out [5]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 .lut_mask = 16'hCCF0; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N5 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2]))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [3]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 .lut_mask = 16'hB8B8; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N25 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [1])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_out [1]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 .lut_mask = 16'hCFC0; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N21 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y24_N17 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 .lut_mask = 16'h33AA; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout = !\hdmi_ctrl_inst|encode_inst2|c0_reg2~q + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N21 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[6] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [6]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[6] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[6] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst2|data_out [6]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4])) + + .dataa(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4]), + .datab(\hdmi_ctrl_inst|encode_inst2|data_out [6]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 .lut_mask = 16'hCCAA; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N7 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3]))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [4]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 .lut_mask = 16'hBB88; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N25 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2]))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [2]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 .lut_mask = 16'hBB88; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N1 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [0])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_out [0]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 .lut_mask = 16'hCFC0; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N15 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] .power_up = "low"; +// synopsys translate_on + +// Location: DDIOOUTCELL_X41_Y24_N4 +cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] ( + .datainlo(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]), + .datainhi(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]), + .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clk(gnd), + .ena(vcc), + .areset(gnd), + .sreset(gnd), + .devclrn(devclrn), + .devpor(devpor), + .dataout(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .dfflo(), + .dffhi()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true"; +// synopsys translate_on + +// Location: DDIOOUTCELL_X41_Y22_N25 +cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] ( + .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]), + .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]), + .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clk(gnd), + .ena(vcc), + .areset(gnd), + .sreset(gnd), + .devclrn(devclrn), + .devpor(devpor), + .dataout(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .dfflo(), + .dffhi()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true"; +// synopsys translate_on + +// Location: DDIOOUTCELL_X41_Y23_N18 +cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] ( + .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]), + .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]), + .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clk(gnd), + .ena(vcc), + .areset(gnd), + .sreset(gnd), + .devclrn(devclrn), + .devpor(devpor), + .dataout(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .dfflo(), + .dffhi()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true"; +// synopsys translate_on + +// Location: DDIOOUTCELL_X41_Y24_N11 +cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] ( + .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]), + .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]), + .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clk(gnd), + .ena(vcc), + .areset(gnd), + .sreset(gnd), + .devclrn(devclrn), + .devpor(devpor), + .dataout(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .dfflo(), + .dffhi()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true"; +// synopsys translate_on + +endmodule diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_0c_v_slow.sdo b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_0c_v_slow.sdo new file mode 100644 index 0000000..d17b552 --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_0c_v_slow.sdo @@ -0,0 +1,9062 @@ +// Copyright (C) 1991-2013 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + + +// +// Device: Altera EP4CE15F23C8 Package FBGA484 +// + +// +// This file contains Slow Corner delays for the design using part EP4CE15F23C8, +// with speed grade 8, core voltage 1.2V, and temperature 0 Celsius +// + +// +// This SDF file should be used for ModelSim (Verilog) only +// + +(DELAYFILE + (SDFVERSION "2.1") + (DESIGN "hdmi_colorbar") + (DATE "06/02/2023 04:17:19") + (VENDOR "Altera") + (PROGRAM "Quartus II 64-Bit") + (VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version") + (DIVIDER .) + (TIMESCALE 1 ps) + + (CELL + (CELLTYPE "cycloneive_pll") + (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll1) + (DELAY + (ABSOLUTE + (PORT areset (3921:3921:3921) (3921:3921:3921)) + (PORT inclk[0] (2063:2063:2063) (2063:2063:2063)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT asdata (1639:1639:1639) (1518:1518:1518)) + (PORT clrn (1683:1683:1683) (1638:1638:1638)) + (PORT sload (1285:1285:1285) (1355:1355:1355)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sload (posedge clk) (195:195:195)) + (HOLD asdata (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1644:1644:1644) (1664:1664:1664)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1686:1686:1686) (1641:1641:1641)) + (PORT sclr (1345:1345:1345) (1474:1474:1474)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sclr (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1644:1644:1644) (1664:1664:1664)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1686:1686:1686) (1641:1641:1641)) + (PORT sclr (1345:1345:1345) (1474:1474:1474)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sclr (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1644:1644:1644) (1664:1664:1664)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1686:1686:1686) (1641:1641:1641)) + (PORT sclr (1345:1345:1345) (1474:1474:1474)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sclr (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1645:1645:1645) (1665:1665:1665)) + (PORT d (90:90:90) (101:101:101)) + (PORT asdata (1611:1611:1611) (1507:1507:1507)) + (PORT clrn (1686:1686:1686) (1641:1641:1641)) + (PORT sload (1671:1671:1671) (1859:1859:1859)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sload (posedge clk) (195:195:195)) + (HOLD asdata (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1647:1647:1647) (1667:1667:1667)) + (PORT d (90:90:90) (101:101:101)) + (PORT asdata (1567:1567:1567) (1377:1377:1377)) + (PORT clrn (1689:1689:1689) (1643:1643:1643)) + (PORT sload (1571:1571:1571) (1688:1688:1688)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sload (posedge clk) (195:195:195)) + (HOLD asdata (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1647:1647:1647) (1667:1667:1667)) + (PORT d (90:90:90) (101:101:101)) + (PORT asdata (1826:1826:1826) (1644:1644:1644)) + (PORT clrn (1689:1689:1689) (1643:1643:1643)) + (PORT sload (1571:1571:1571) (1688:1688:1688)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sload (posedge clk) (195:195:195)) + (HOLD asdata (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1131:1131:1131) (958:958:958)) + (PORT datab (640:640:640) (602:602:602)) + (IOPATH dataa combout (408:408:408) (425:425:425)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (406:406:406) (453:453:453)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~2) + (DELAY + (ABSOLUTE + (PORT dataa (583:583:583) (581:581:581)) + (PORT datab (807:807:807) (737:737:737)) + (IOPATH dataa combout (420:420:420) (425:425:425)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (423:423:423) (453:453:453)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~4) + (DELAY + (ABSOLUTE + (PORT dataa (559:559:559) (550:550:550)) + (IOPATH dataa combout (420:420:420) (400:400:400)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~6) + (DELAY + (ABSOLUTE + (IOPATH cin combout (549:549:549) (519:519:519)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~0) + (DELAY + (ABSOLUTE + (PORT dataa (574:574:574) (566:566:566)) + (PORT datab (344:344:344) (402:402:402)) + (IOPATH dataa combout (408:408:408) (425:425:425)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (415:415:415) (425:425:425)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~2) + (DELAY + (ABSOLUTE + (PORT dataa (873:873:873) (770:770:770)) + (PORT datab (629:629:629) (593:593:593)) + (IOPATH dataa combout (428:428:428) (449:449:449)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~4) + (DELAY + (ABSOLUTE + (PORT dataa (888:888:888) (773:773:773)) + (PORT datab (595:595:595) (581:581:581)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~6) + (DELAY + (ABSOLUTE + (PORT datab (654:654:654) (619:619:619)) + (IOPATH datab combout (423:423:423) (451:451:451)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~8) + (DELAY + (ABSOLUTE + (PORT datab (647:647:647) (607:607:607)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH cin combout (549:549:549) (519:519:519)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1130:1130:1130) (958:958:958)) + (PORT datab (642:642:642) (605:605:605)) + (IOPATH dataa combout (404:404:404) (450:450:450)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (415:415:415) (425:425:425)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~2) + (DELAY + (ABSOLUTE + (PORT dataa (581:581:581) (579:579:579)) + (PORT datab (807:807:807) (738:738:738)) + (IOPATH dataa combout (414:414:414) (450:450:450)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (423:423:423) (425:425:425)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~4) + (DELAY + (ABSOLUTE + (PORT dataa (557:557:557) (548:548:548)) + (IOPATH dataa combout (428:428:428) (450:450:450)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~6) + (DELAY + (ABSOLUTE + (IOPATH cin combout (549:549:549) (519:519:519)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~0) + (DELAY + (ABSOLUTE + (PORT dataa (577:577:577) (569:569:569)) + (PORT datab (348:348:348) (406:406:406)) + (IOPATH dataa combout (408:408:408) (425:425:425)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (415:415:415) (425:425:425)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~2) + (DELAY + (ABSOLUTE + (PORT dataa (899:899:899) (780:780:780)) + (PORT datab (631:631:631) (595:595:595)) + (IOPATH dataa combout (428:428:428) (449:449:449)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~4) + (DELAY + (ABSOLUTE + (PORT dataa (808:808:808) (728:728:728)) + (PORT datab (598:598:598) (583:583:583)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~6) + (DELAY + (ABSOLUTE + (PORT dataa (932:932:932) (805:805:805)) + (PORT datab (656:656:656) (621:621:621)) + (IOPATH dataa combout (428:428:428) (449:449:449)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~8) + (DELAY + (ABSOLUTE + (PORT datab (649:649:649) (609:609:609)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH cin combout (549:549:549) (519:519:519)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~4) + (DELAY + (ABSOLUTE + (PORT dataa (365:365:365) (425:425:425)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~4) + (DELAY + (ABSOLUTE + (PORT dataa (630:630:630) (582:582:582)) + (IOPATH dataa combout (420:420:420) (400:400:400)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\]\~7) + (DELAY + (ABSOLUTE + (PORT dataa (272:272:272) (284:284:284)) + (PORT datab (269:269:269) (276:276:276)) + (IOPATH dataa combout (428:428:428) (449:449:449)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[1\]\~9) + (DELAY + (ABSOLUTE + (PORT dataa (822:822:822) (653:653:653)) + (PORT datab (266:266:266) (272:272:272)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[2\]\~11) + (DELAY + (ABSOLUTE + (PORT dataa (479:479:479) (423:423:423)) + (PORT datab (266:266:266) (273:273:273)) + (IOPATH dataa combout (428:428:428) (449:449:449)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1645:1645:1645) (1665:1665:1665)) + (PORT d (90:90:90) (101:101:101)) + (PORT asdata (1522:1522:1522) (1333:1333:1333)) + (PORT clrn (1686:1686:1686) (1641:1641:1641)) + (PORT sload (1671:1671:1671) (1859:1859:1859)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sload (posedge clk) (195:195:195)) + (HOLD asdata (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1193:1193:1193) (1015:1015:1015)) + (PORT datab (1141:1141:1141) (977:977:977)) + (IOPATH dataa combout (408:408:408) (425:425:425)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (406:406:406) (453:453:453)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~2) + (DELAY + (ABSOLUTE + (PORT dataa (1100:1100:1100) (951:951:951)) + (PORT datab (1807:1807:1807) (1569:1569:1569)) + (IOPATH dataa combout (420:420:420) (425:425:425)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (423:423:423) (453:453:453)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~4) + (DELAY + (ABSOLUTE + (PORT datab (943:943:943) (820:820:820)) + (IOPATH datab combout (423:423:423) (398:398:398)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~6) + (DELAY + (ABSOLUTE + (IOPATH cin combout (549:549:549) (519:519:519)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~0) + (DELAY + (ABSOLUTE + (PORT dataa (884:884:884) (780:780:780)) + (PORT datab (550:550:550) (525:525:525)) + (IOPATH dataa combout (408:408:408) (425:425:425)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (415:415:415) (425:425:425)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~2) + (DELAY + (ABSOLUTE + (PORT dataa (878:878:878) (792:792:792)) + (PORT datab (1129:1129:1129) (963:963:963)) + (IOPATH dataa combout (428:428:428) (449:449:449)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~4) + (DELAY + (ABSOLUTE + (PORT dataa (904:904:904) (798:798:798)) + (PORT datab (1115:1115:1115) (952:952:952)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~6) + (DELAY + (ABSOLUTE + (PORT dataa (900:900:900) (798:798:798)) + (IOPATH dataa combout (414:414:414) (444:444:444)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~8) + (DELAY + (ABSOLUTE + (PORT dataa (854:854:854) (775:775:775)) + (IOPATH dataa combout (428:428:428) (450:450:450)) + (IOPATH cin combout (549:549:549) (519:519:519)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1191:1191:1191) (1013:1013:1013)) + (PORT datab (1142:1142:1142) (978:978:978)) + (IOPATH dataa combout (404:404:404) (450:450:450)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (415:415:415) (425:425:425)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~4) + (DELAY + (ABSOLUTE + (PORT datab (944:944:944) (821:821:821)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~6) + (DELAY + (ABSOLUTE + (IOPATH cin combout (549:549:549) (519:519:519)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~2) + (DELAY + (ABSOLUTE + (PORT dataa (1801:1801:1801) (1512:1512:1512)) + (PORT datab (898:898:898) (796:796:796)) + (IOPATH dataa combout (428:428:428) (449:449:449)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~6) + (DELAY + (ABSOLUTE + (PORT dataa (901:901:901) (799:799:799)) + (PORT datab (883:883:883) (780:780:780)) + (IOPATH dataa combout (428:428:428) (449:449:449)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~8) + (DELAY + (ABSOLUTE + (PORT dataa (853:853:853) (774:774:774)) + (IOPATH dataa combout (428:428:428) (450:450:450)) + (IOPATH cin combout (549:549:549) (519:519:519)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~0) + (DELAY + (ABSOLUTE + (PORT dataa (854:854:854) (774:774:774)) + (PORT datab (624:624:624) (585:585:585)) + (IOPATH dataa combout (408:408:408) (425:425:425)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (415:415:415) (425:425:425)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~2) + (DELAY + (ABSOLUTE + (PORT dataa (907:907:907) (802:802:802)) + (IOPATH dataa combout (414:414:414) (444:444:444)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~4) + (DELAY + (ABSOLUTE + (PORT dataa (848:848:848) (762:762:762)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~6) + (DELAY + (ABSOLUTE + (PORT datad (566:566:566) (551:551:551)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~0) + (DELAY + (ABSOLUTE + (PORT dataa (855:855:855) (775:775:775)) + (PORT datab (623:623:623) (584:584:584)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~2) + (DELAY + (ABSOLUTE + (PORT dataa (906:906:906) (800:800:800)) + (IOPATH dataa combout (428:428:428) (450:450:450)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~4) + (DELAY + (ABSOLUTE + (PORT dataa (847:847:847) (761:761:761)) + (IOPATH dataa combout (420:420:420) (400:400:400)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1647:1647:1647) (1667:1667:1667)) + (PORT d (90:90:90) (101:101:101)) + (PORT asdata (1568:1568:1568) (1378:1378:1378)) + (PORT clrn (1689:1689:1689) (1643:1643:1643)) + (PORT sload (1571:1571:1571) (1688:1688:1688)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sload (posedge clk) (195:195:195)) + (HOLD asdata (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~0) + (DELAY + (ABSOLUTE + (PORT dataa (894:894:894) (812:812:812)) + (PORT datab (895:895:895) (796:796:796)) + (IOPATH dataa combout (408:408:408) (425:425:425)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (406:406:406) (453:453:453)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~4) + (DELAY + (ABSOLUTE + (PORT datab (813:813:813) (722:722:722)) + (IOPATH datab combout (423:423:423) (398:398:398)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~0) + (DELAY + (ABSOLUTE + (PORT dataa (847:847:847) (735:735:735)) + (PORT datab (338:338:338) (392:392:392)) + (IOPATH dataa combout (408:408:408) (425:425:425)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (415:415:415) (425:425:425)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~2) + (DELAY + (ABSOLUTE + (PORT dataa (551:551:551) (545:545:545)) + (PORT datab (806:806:806) (720:720:720)) + (IOPATH dataa combout (428:428:428) (449:449:449)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~4) + (DELAY + (ABSOLUTE + (PORT dataa (341:341:341) (401:401:401)) + (PORT datab (794:794:794) (711:711:711)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~6) + (DELAY + (ABSOLUTE + (PORT dataa (816:816:816) (736:736:736)) + (IOPATH dataa combout (414:414:414) (444:444:444)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~0) + (DELAY + (ABSOLUTE + (PORT dataa (893:893:893) (812:812:812)) + (PORT datab (891:891:891) (791:791:791)) + (IOPATH dataa combout (404:404:404) (450:450:450)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (415:415:415) (425:425:425)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~4) + (DELAY + (ABSOLUTE + (PORT datab (809:809:809) (719:719:719)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~2) + (DELAY + (ABSOLUTE + (PORT dataa (813:813:813) (729:729:729)) + (PORT datab (348:348:348) (406:406:406)) + (IOPATH dataa combout (428:428:428) (449:449:449)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~4) + (DELAY + (ABSOLUTE + (PORT dataa (349:349:349) (412:412:412)) + (PORT datab (853:853:853) (746:746:746)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~6) + (DELAY + (ABSOLUTE + (PORT dataa (874:874:874) (768:768:768)) + (PORT datab (340:340:340) (396:396:396)) + (IOPATH dataa combout (428:428:428) (449:449:449)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~8) + (DELAY + (ABSOLUTE + (PORT datad (332:332:332) (392:392:392)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~2) + (DELAY + (ABSOLUTE + (PORT datab (560:560:560) (539:539:539)) + (IOPATH datab combout (423:423:423) (451:451:451)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~4) + (DELAY + (ABSOLUTE + (PORT dataa (557:557:557) (548:548:548)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~6) + (DELAY + (ABSOLUTE + (PORT datad (573:573:573) (549:549:549)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~0) + (DELAY + (ABSOLUTE + (PORT dataa (931:931:931) (804:804:804)) + (PORT datab (839:839:839) (754:754:754)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~2) + (DELAY + (ABSOLUTE + (PORT datab (562:562:562) (542:542:542)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~4) + (DELAY + (ABSOLUTE + (PORT dataa (560:560:560) (550:550:550)) + (IOPATH dataa combout (420:420:420) (400:400:400)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~6) + (DELAY + (ABSOLUTE + (PORT datad (575:575:575) (551:551:551)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1649:1649:1649) (1668:1668:1668)) + (PORT d (90:90:90) (101:101:101)) + (PORT asdata (1601:1601:1601) (1403:1403:1403)) + (PORT clrn (1690:1690:1690) (1645:1645:1645)) + (PORT sload (1588:1588:1588) (1701:1701:1701)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sload (posedge clk) (195:195:195)) + (HOLD asdata (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[6\]) + (DELAY + (ABSOLUTE + (PORT clk (1645:1645:1645) (1664:1664:1664)) + (PORT d (90:90:90) (101:101:101)) + (PORT asdata (1513:1513:1513) (1330:1330:1330)) + (PORT clrn (1686:1686:1686) (1641:1641:1641)) + (PORT sload (1460:1460:1460) (1597:1597:1597)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sload (posedge clk) (195:195:195)) + (HOLD asdata (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[7\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT asdata (1638:1638:1638) (1517:1517:1517)) + (PORT clrn (1683:1683:1683) (1638:1638:1638)) + (PORT sload (1285:1285:1285) (1355:1355:1355)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sload (posedge clk) (195:195:195)) + (HOLD asdata (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~2) + (DELAY + (ABSOLUTE + (PORT dataa (350:350:350) (414:414:414)) + (IOPATH dataa combout (414:414:414) (444:444:444)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~10) + (DELAY + (ABSOLUTE + (PORT dataa (964:964:964) (863:863:863)) + (IOPATH dataa combout (414:414:414) (444:444:444)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~2) + (DELAY + (ABSOLUTE + (PORT datab (610:610:610) (563:563:563)) + (IOPATH datab combout (423:423:423) (451:451:451)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~6) + (DELAY + (ABSOLUTE + (PORT dataa (563:563:563) (556:556:556)) + (IOPATH dataa combout (414:414:414) (444:444:444)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~8) + (DELAY + (ABSOLUTE + (PORT datab (611:611:611) (566:566:566)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~10) + (DELAY + (ABSOLUTE + (PORT dataa (342:342:342) (402:402:402)) + (IOPATH dataa combout (414:414:414) (444:444:444)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~12) + (DELAY + (ABSOLUTE + (PORT datab (608:608:608) (566:566:566)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~14) + (DELAY + (ABSOLUTE + (PORT dataa (554:554:554) (547:547:547)) + (IOPATH dataa combout (414:414:414) (444:444:444)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~16) + (DELAY + (ABSOLUTE + (PORT datab (608:608:608) (566:566:566)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~18) + (DELAY + (ABSOLUTE + (PORT datab (575:575:575) (560:560:560)) + (IOPATH datab combout (423:423:423) (451:451:451)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~20) + (DELAY + (ABSOLUTE + (PORT dataa (341:341:341) (401:401:401)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1645:1645:1645) (1665:1665:1665)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1645:1645:1645) (1665:1665:1665)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~1) + (DELAY + (ABSOLUTE + (PORT datac (278:278:278) (341:341:341)) + (PORT datad (380:380:380) (465:465:465)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1644:1644:1644) (1664:1664:1664)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1685:1685:1685) (1640:1640:1640)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~1) + (DELAY + (ABSOLUTE + (PORT dataa (583:583:583) (581:581:581)) + (PORT datab (637:637:637) (598:598:598)) + (PORT datac (514:514:514) (502:502:502)) + (PORT datad (504:504:504) (488:488:488)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal1\~0) + (DELAY + (ABSOLUTE + (PORT dataa (840:840:840) (763:763:763)) + (PORT datab (630:630:630) (594:594:594)) + (PORT datac (554:554:554) (549:549:549)) + (PORT datad (534:534:534) (525:525:525)) + (IOPATH dataa combout (404:404:404) (450:450:450)) + (IOPATH datab combout (406:406:406) (453:453:453)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1645:1645:1645) (1665:1665:1665)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1649:1649:1649) (1668:1668:1668)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1690:1690:1690) (1645:1645:1645)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~1) + (DELAY + (ABSOLUTE + (PORT dataa (893:893:893) (812:812:812)) + (PORT datab (894:894:894) (795:795:795)) + (PORT datac (886:886:886) (787:787:787)) + (PORT datad (797:797:797) (716:716:716)) + (IOPATH dataa combout (428:428:428) (449:449:449)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1649:1649:1649) (1668:1668:1668)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1690:1690:1690) (1645:1645:1645)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~2) + (DELAY + (ABSOLUTE + (PORT datac (285:285:285) (351:351:351)) + (PORT datad (380:380:380) (465:465:465)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~0) + (DELAY + (ABSOLUTE + (PORT dataa (852:852:852) (680:680:680)) + (PORT datab (832:832:832) (728:728:728)) + (PORT datac (742:742:742) (597:597:597)) + (PORT datad (249:249:249) (256:256:256)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~1) + (DELAY + (ABSOLUTE + (PORT dataa (794:794:794) (637:637:637)) + (PORT datab (268:268:268) (275:275:275)) + (PORT datac (735:735:735) (587:587:587)) + (PORT datad (746:746:746) (623:623:623)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + (IOPATH datab combout (357:357:357) (380:380:380)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~3) + (DELAY + (ABSOLUTE + (PORT dataa (271:271:271) (283:283:283)) + (PORT datab (826:826:826) (704:704:704)) + (PORT datac (521:521:521) (459:459:459)) + (PORT datad (446:446:446) (387:387:387)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~4) + (DELAY + (ABSOLUTE + (PORT dataa (482:482:482) (426:426:426)) + (PORT datab (539:539:539) (447:447:447)) + (PORT datac (227:227:227) (242:242:242)) + (PORT datad (789:789:789) (672:672:672)) + (IOPATH dataa combout (375:375:375) (371:371:371)) + (IOPATH datab combout (380:380:380) (380:380:380)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~5) + (DELAY + (ABSOLUTE + (PORT dataa (865:865:865) (733:733:733)) + (PORT datab (832:832:832) (728:728:728)) + (PORT datac (227:227:227) (242:242:242)) + (PORT datad (466:466:466) (389:389:389)) + (IOPATH dataa combout (392:392:392) (419:419:419)) + (IOPATH datab combout (393:393:393) (431:431:431)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~7) + (DELAY + (ABSOLUTE + (PORT dataa (845:845:845) (669:669:669)) + (PORT datab (267:267:267) (273:273:273)) + (PORT datac (521:521:521) (458:458:458)) + (PORT datad (788:788:788) (670:670:670)) + (IOPATH dataa combout (375:375:375) (371:371:371)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~8) + (DELAY + (ABSOLUTE + (PORT dataa (743:743:743) (611:611:611)) + (PORT datab (528:528:528) (432:432:432)) + (PORT datac (427:427:427) (368:368:368)) + (PORT datad (503:503:503) (452:452:452)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + (IOPATH datab combout (357:357:357) (380:380:380)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~11) + (DELAY + (ABSOLUTE + (PORT dataa (486:486:486) (431:431:431)) + (PORT datab (267:267:267) (274:274:274)) + (PORT datac (521:521:521) (459:459:459)) + (PORT datad (787:787:787) (670:670:670)) + (IOPATH dataa combout (420:420:420) (400:400:400)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~12) + (DELAY + (ABSOLUTE + (PORT dataa (271:271:271) (283:283:283)) + (PORT datab (751:751:751) (619:619:619)) + (PORT datac (227:227:227) (242:242:242)) + (PORT datad (785:785:785) (666:666:666)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + (IOPATH datab combout (357:357:357) (380:380:380)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~16) + (DELAY + (ABSOLUTE + (PORT dataa (546:546:546) (496:496:496)) + (PORT datac (821:821:821) (720:720:720)) + (PORT datad (301:301:301) (357:357:357)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal1\~1) + (DELAY + (ABSOLUTE + (PORT datac (605:605:605) (588:588:588)) + (PORT datad (236:236:236) (248:248:248)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add12\~1) + (DELAY + (ABSOLUTE + (PORT datab (1321:1321:1321) (1146:1146:1146)) + (PORT datad (1229:1229:1229) (1083:1083:1083)) + (IOPATH datab combout (384:384:384) (398:398:398)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1644:1644:1644) (1664:1664:1664)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1685:1685:1685) (1640:1640:1640)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~2) + (DELAY + (ABSOLUTE + (PORT dataa (785:785:785) (714:714:714)) + (PORT datab (925:925:925) (845:845:845)) + (PORT datac (821:821:821) (694:694:694)) + (PORT datad (790:790:790) (689:689:689)) + (IOPATH dataa combout (435:435:435) (444:444:444)) + (IOPATH datab combout (357:357:357) (380:380:380)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1645:1645:1645) (1665:1665:1665)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~2) + (DELAY + (ABSOLUTE + (PORT dataa (322:322:322) (381:381:381)) + (PORT datab (321:321:321) (376:376:376)) + (PORT datac (1022:1022:1022) (988:988:988)) + (IOPATH dataa combout (420:420:420) (400:400:400)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datac combout (305:305:305) (285:285:285)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~0) + (DELAY + (ABSOLUTE + (PORT dataa (905:905:905) (763:763:763)) + (PORT datab (268:268:268) (275:275:275)) + (PORT datac (486:486:486) (413:413:413)) + (PORT datad (1115:1115:1115) (916:916:916)) + (IOPATH dataa combout (428:428:428) (449:449:449)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~1) + (DELAY + (ABSOLUTE + (PORT dataa (740:740:740) (600:600:600)) + (PORT datab (268:268:268) (275:275:275)) + (PORT datac (225:225:225) (241:241:241)) + (PORT datad (1114:1114:1114) (915:915:915)) + (IOPATH dataa combout (377:377:377) (371:371:371)) + (IOPATH datab combout (377:377:377) (380:380:380)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~5) + (DELAY + (ABSOLUTE + (PORT dataa (294:294:294) (306:306:306)) + (PORT datab (727:727:727) (601:601:601)) + (PORT datac (1012:1012:1012) (820:820:820)) + (PORT datad (822:822:822) (734:734:734)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~6) + (DELAY + (ABSOLUTE + (PORT dataa (1055:1055:1055) (858:858:858)) + (PORT datab (473:473:473) (408:408:408)) + (PORT datac (224:224:224) (239:239:239)) + (IOPATH dataa combout (408:408:408) (425:425:425)) + (IOPATH datab combout (415:415:415) (425:425:425)) + (IOPATH datac combout (305:305:305) (285:285:285)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~7) + (DELAY + (ABSOLUTE + (PORT dataa (1069:1069:1069) (876:876:876)) + (PORT datab (267:267:267) (274:274:274)) + (PORT datac (1454:1454:1454) (1280:1280:1280)) + (PORT datad (518:518:518) (456:456:456)) + (IOPATH dataa combout (428:428:428) (449:449:449)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~8) + (DELAY + (ABSOLUTE + (PORT dataa (746:746:746) (615:615:615)) + (PORT datab (265:265:265) (272:272:272)) + (PORT datac (1026:1026:1026) (837:837:837)) + (PORT datad (831:831:831) (738:738:738)) + (IOPATH dataa combout (420:420:420) (371:371:371)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~13) + (DELAY + (ABSOLUTE + (PORT dataa (272:272:272) (283:283:283)) + (PORT datab (540:540:540) (450:450:450)) + (PORT datac (848:848:848) (722:722:722)) + (PORT datad (1116:1116:1116) (917:917:917)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + (IOPATH datab combout (423:423:423) (391:391:391)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~14) + (DELAY + (ABSOLUTE + (PORT dataa (270:270:270) (281:281:281)) + (PORT datab (265:265:265) (272:272:272)) + (PORT datac (438:438:438) (385:385:385)) + (PORT datad (1116:1116:1116) (917:917:917)) + (IOPATH dataa combout (377:377:377) (371:371:371)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~16) + (DELAY + (ABSOLUTE + (PORT datab (867:867:867) (727:727:727)) + (PORT datac (513:513:513) (498:498:498)) + (PORT datad (823:823:823) (737:737:737)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal2\~1) + (DELAY + (ABSOLUTE + (PORT dataa (486:486:486) (420:420:420)) + (PORT datad (824:824:824) (736:736:736)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT asdata (754:754:754) (837:837:837)) + (PORT clrn (1682:1682:1682) (1637:1637:1637)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~2) + (DELAY + (ABSOLUTE + (PORT dataa (363:363:363) (432:432:432)) + (PORT datab (806:806:806) (660:660:660)) + (PORT datad (757:757:757) (643:643:643)) + (IOPATH dataa combout (377:377:377) (380:380:380)) + (IOPATH datab combout (437:437:437) (451:451:451)) + (IOPATH datac combout (415:415:415) (429:429:429)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~2) + (DELAY + (ABSOLUTE + (PORT dataa (271:271:271) (283:283:283)) + (PORT datab (267:267:267) (274:274:274)) + (PORT datac (823:823:823) (693:693:693)) + (PORT datad (764:764:764) (622:622:622)) + (IOPATH dataa combout (375:375:375) (371:371:371)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~3) + (DELAY + (ABSOLUTE + (PORT dataa (754:754:754) (617:617:617)) + (PORT datab (845:845:845) (707:707:707)) + (PORT datac (803:803:803) (669:669:669)) + (PORT datad (229:229:229) (236:236:236)) + (IOPATH dataa combout (420:420:420) (371:371:371)) + (IOPATH datab combout (423:423:423) (386:386:386)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~4) + (DELAY + (ABSOLUTE + (PORT dataa (820:820:820) (664:664:664)) + (PORT datab (268:268:268) (275:275:275)) + (PORT datac (225:225:225) (240:240:240)) + (PORT datad (786:786:786) (668:668:668)) + (IOPATH dataa combout (377:377:377) (371:371:371)) + (IOPATH datab combout (377:377:377) (380:380:380)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~9) + (DELAY + (ABSOLUTE + (PORT dataa (882:882:882) (735:735:735)) + (PORT datab (267:267:267) (274:274:274)) + (PORT datac (756:756:756) (672:672:672)) + (PORT datad (763:763:763) (645:645:645)) + (IOPATH dataa combout (435:435:435) (444:444:444)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~10) + (DELAY + (ABSOLUTE + (PORT dataa (271:271:271) (283:283:283)) + (PORT datab (854:854:854) (756:756:756)) + (PORT datac (437:437:437) (372:372:372)) + (PORT datad (762:762:762) (644:644:644)) + (IOPATH dataa combout (375:375:375) (371:371:371)) + (IOPATH datab combout (384:384:384) (398:398:398)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~11) + (DELAY + (ABSOLUTE + (PORT dataa (269:269:269) (281:281:281)) + (PORT datab (741:741:741) (597:597:597)) + (PORT datac (809:809:809) (675:675:675)) + (PORT datad (786:786:786) (669:669:669)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + (IOPATH datab combout (423:423:423) (391:391:391)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~12) + (DELAY + (ABSOLUTE + (PORT dataa (484:484:484) (415:415:415)) + (PORT datab (537:537:537) (444:444:444)) + (PORT datac (225:225:225) (240:240:240)) + (PORT datad (786:786:786) (669:669:669)) + (IOPATH dataa combout (375:375:375) (371:371:371)) + (IOPATH datab combout (380:380:380) (380:380:380)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~13) + (DELAY + (ABSOLUTE + (PORT dataa (884:884:884) (737:737:737)) + (PORT datab (592:592:592) (552:552:552)) + (PORT datac (226:226:226) (241:241:241)) + (PORT datad (764:764:764) (646:646:646)) + (IOPATH dataa combout (435:435:435) (444:444:444)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~16) + (DELAY + (ABSOLUTE + (PORT datab (361:361:361) (423:423:423)) + (PORT datac (796:796:796) (711:711:711)) + (PORT datad (819:819:819) (679:679:679)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal2\~1) + (DELAY + (ABSOLUTE + (PORT datab (832:832:832) (664:664:664)) + (PORT datad (530:530:530) (508:508:508)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add14\~1) + (DELAY + (ABSOLUTE + (PORT datab (961:961:961) (881:881:881)) + (PORT datac (984:984:984) (930:930:930)) + (PORT datad (922:922:922) (867:867:867)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1649:1649:1649) (1668:1668:1668)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1690:1690:1690) (1645:1645:1645)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~2) + (DELAY + (ABSOLUTE + (PORT dataa (362:362:362) (430:430:430)) + (PORT datab (317:317:317) (371:371:371)) + (PORT datac (758:758:758) (633:633:633)) + (PORT datad (807:807:807) (652:652:652)) + (IOPATH dataa combout (394:394:394) (419:419:419)) + (IOPATH datab combout (437:437:437) (431:431:431)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~3) + (DELAY + (ABSOLUTE + (PORT datab (1218:1218:1218) (1080:1080:1080)) + (PORT datac (924:924:924) (862:862:862)) + (PORT datad (746:746:746) (605:605:605)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~0) + (DELAY + (ABSOLUTE + (PORT dataa (844:844:844) (754:754:754)) + (PORT datab (346:346:346) (404:404:404)) + (PORT datac (305:305:305) (373:373:373)) + (PORT datad (305:305:305) (363:363:363)) + (IOPATH dataa combout (404:404:404) (450:450:450)) + (IOPATH datab combout (406:406:406) (453:453:453)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[10\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1662:1662:1662)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1682:1682:1682) (1638:1638:1638)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~3) + (DELAY + (ABSOLUTE + (PORT dataa (749:749:749) (636:636:636)) + (PORT datab (853:853:853) (787:787:787)) + (PORT datac (731:731:731) (612:612:612)) + (PORT datad (233:233:233) (243:243:243)) + (IOPATH dataa combout (377:377:377) (371:371:371)) + (IOPATH datab combout (384:384:384) (386:386:386)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[3\]\~0) + (DELAY + (ABSOLUTE + (PORT datac (1135:1135:1135) (1013:1013:1013)) + (PORT datad (1227:1227:1227) (1081:1081:1081)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1644:1644:1644) (1664:1664:1664)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1685:1685:1685) (1640:1640:1640)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~5) + (DELAY + (ABSOLUTE + (PORT dataa (786:786:786) (719:719:719)) + (PORT datab (925:925:925) (846:846:846)) + (PORT datac (822:822:822) (694:694:694)) + (PORT datad (790:790:790) (688:688:688)) + (IOPATH dataa combout (435:435:435) (444:444:444)) + (IOPATH datab combout (357:357:357) (380:380:380)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1645:1645:1645) (1665:1665:1665)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~3) + (DELAY + (ABSOLUTE + (PORT datab (526:526:526) (504:504:504)) + (PORT datac (1022:1022:1022) (987:987:987)) + (PORT datad (278:278:278) (333:333:333)) + (IOPATH datab combout (423:423:423) (391:391:391)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1682:1682:1682) (1637:1637:1637)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~3) + (DELAY + (ABSOLUTE + (PORT dataa (326:326:326) (386:386:386)) + (PORT datab (1044:1044:1044) (975:975:975)) + (PORT datac (276:276:276) (339:339:339)) + (IOPATH dataa combout (408:408:408) (425:425:425)) + (IOPATH datab combout (415:415:415) (431:431:431)) + (IOPATH datac combout (305:305:305) (285:285:285)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT asdata (741:741:741) (810:810:810)) + (PORT clrn (1682:1682:1682) (1637:1637:1637)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~4) + (DELAY + (ABSOLUTE + (PORT dataa (360:360:360) (428:428:428)) + (PORT datab (811:811:811) (665:665:665)) + (PORT datad (750:750:750) (636:636:636)) + (IOPATH dataa combout (377:377:377) (380:380:380)) + (IOPATH datab combout (437:437:437) (451:451:451)) + (IOPATH datac combout (415:415:415) (429:429:429)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[3\]\~0) + (DELAY + (ABSOLUTE + (PORT datac (982:982:982) (928:928:928)) + (PORT datad (899:899:899) (839:839:839)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1649:1649:1649) (1668:1668:1668)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1690:1690:1690) (1645:1645:1645)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~5) + (DELAY + (ABSOLUTE + (PORT dataa (311:311:311) (331:331:331)) + (PORT datab (320:320:320) (374:374:374)) + (PORT datac (546:546:546) (539:539:539)) + (PORT datad (263:263:263) (277:277:277)) + (IOPATH dataa combout (435:435:435) (449:449:449)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1649:1649:1649) (1668:1668:1668)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1644:1644:1644) (1664:1664:1664)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1686:1686:1686) (1640:1640:1640)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_x\[10\]\~1) + (DELAY + (ABSOLUTE + (PORT datac (846:846:846) (724:724:724)) + (PORT datad (515:515:515) (459:459:459)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|always0\~1) + (DELAY + (ABSOLUTE + (PORT dataa (910:910:910) (804:804:804)) + (PORT datac (1156:1156:1156) (979:979:979)) + (PORT datad (901:901:901) (786:786:786)) + (IOPATH dataa combout (420:420:420) (400:400:400)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|always0\~2) + (DELAY + (ABSOLUTE + (PORT dataa (269:269:269) (280:280:280)) + (PORT datab (916:916:916) (782:782:782)) + (PORT datac (1470:1470:1470) (1249:1249:1249)) + (PORT datad (256:256:256) (268:268:268)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\[9\]\~14) + (DELAY + (ABSOLUTE + (PORT datac (1157:1157:1157) (980:980:980)) + (PORT datad (869:869:869) (760:760:760)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~16) + (DELAY + (ABSOLUTE + (PORT dataa (288:288:288) (308:308:308)) + (PORT datab (282:282:282) (294:294:294)) + (PORT datac (836:836:836) (721:721:721)) + (PORT datad (836:836:836) (720:720:720)) + (IOPATH dataa combout (375:375:375) (371:371:371)) + (IOPATH datab combout (384:384:384) (386:386:386)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~8) + (DELAY + (ABSOLUTE + (PORT datab (913:913:913) (799:799:799)) + (PORT datac (830:830:830) (735:735:735)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datac combout (301:301:301) (283:283:283)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[10\]\~12) + (DELAY + (ABSOLUTE + (PORT dataa (270:270:270) (282:282:282)) + (PORT datab (1426:1426:1426) (1173:1173:1173)) + (PORT datad (557:557:557) (496:496:496)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + (IOPATH datab combout (393:393:393) (431:431:431)) + (IOPATH datac combout (415:415:415) (429:429:429)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[4\]\~2) + (DELAY + (ABSOLUTE + (PORT datab (1321:1321:1321) (1146:1146:1146)) + (PORT datac (1136:1136:1136) (1013:1013:1013)) + (IOPATH datab combout (437:437:437) (451:451:451)) + (IOPATH datac combout (305:305:305) (285:285:285)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[6\]\~6) + (DELAY + (ABSOLUTE + (PORT dataa (866:866:866) (734:734:734)) + (PORT datab (924:924:924) (844:844:844)) + (PORT datad (791:791:791) (689:689:689)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + (IOPATH datab combout (384:384:384) (398:398:398)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (1645:1645:1645) (1665:1665:1665)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1686:1686:1686) (1641:1641:1641)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~4) + (DELAY + (ABSOLUTE + (PORT dataa (323:323:323) (382:382:382)) + (PORT datac (1022:1022:1022) (987:987:987)) + (IOPATH dataa combout (420:420:420) (400:400:400)) + (IOPATH datac combout (305:305:305) (285:285:285)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|LessThan10\~0) + (DELAY + (ABSOLUTE + (PORT dataa (895:895:895) (768:768:768)) + (PORT datab (842:842:842) (721:721:721)) + (PORT datac (509:509:509) (465:465:465)) + (PORT datad (518:518:518) (466:466:466)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + (IOPATH datab combout (354:354:354) (380:380:380)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~22) + (DELAY + (ABSOLUTE + (PORT datac (847:847:847) (725:725:725)) + (PORT datad (477:477:477) (407:407:407)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~23) + (DELAY + (ABSOLUTE + (PORT dataa (559:559:559) (507:507:507)) + (PORT datab (269:269:269) (276:276:276)) + (PORT datac (511:511:511) (467:467:467)) + (PORT datad (517:517:517) (452:452:452)) + (IOPATH dataa combout (373:373:373) (380:380:380)) + (IOPATH datab combout (377:377:377) (380:380:380)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|LessThan14\~1) + (DELAY + (ABSOLUTE + (PORT dataa (587:587:587) (503:503:503)) + (PORT datad (477:477:477) (406:406:406)) + (IOPATH dataa combout (377:377:377) (371:371:371)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\[13\]\~24) + (DELAY + (ABSOLUTE + (PORT dataa (892:892:892) (765:765:765)) + (PORT datab (267:267:267) (274:274:274)) + (PORT datac (509:509:509) (465:465:465)) + (PORT datad (519:519:519) (466:466:466)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~25) + (DELAY + (ABSOLUTE + (PORT dataa (280:280:280) (296:296:296)) + (PORT datab (819:819:819) (640:640:640)) + (PORT datac (226:226:226) (242:242:242)) + (PORT datad (232:232:232) (243:243:243)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m\[5\]\~0) + (DELAY + (ABSOLUTE + (PORT datab (362:362:362) (424:424:424)) + (PORT datac (340:340:340) (426:426:426)) + (PORT datad (551:551:551) (544:544:544)) + (IOPATH datab combout (437:437:437) (451:451:451)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[7\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1682:1682:1682) (1637:1637:1637)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~5) + (DELAY + (ABSOLUTE + (PORT dataa (892:892:892) (764:764:764)) + (PORT datab (790:790:790) (674:674:674)) + (PORT datac (972:972:972) (762:762:762)) + (PORT datad (784:784:784) (717:717:717)) + (IOPATH dataa combout (435:435:435) (449:449:449)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[9\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1683:1683:1683) (1638:1638:1638)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~4) + (DELAY + (ABSOLUTE + (PORT datab (322:322:322) (377:377:377)) + (PORT datac (991:991:991) (941:941:941)) + (IOPATH datab combout (423:423:423) (391:391:391)) + (IOPATH datac combout (305:305:305) (285:285:285)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[4\]\~2) + (DELAY + (ABSOLUTE + (PORT datab (936:936:936) (843:843:843)) + (PORT datad (891:891:891) (820:820:820)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (1649:1649:1649) (1668:1668:1668)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1690:1690:1690) (1645:1645:1645)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~4) + (DELAY + (ABSOLUTE + (PORT dataa (323:323:323) (383:383:383)) + (PORT datab (1000:1000:1000) (948:948:948)) + (IOPATH dataa combout (408:408:408) (425:425:425)) + (IOPATH datab combout (415:415:415) (425:425:425)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~8) + (DELAY + (ABSOLUTE + (PORT dataa (1134:1134:1134) (980:980:980)) + (PORT datab (873:873:873) (766:766:766)) + (PORT datac (1188:1188:1188) (1086:1086:1086)) + (IOPATH dataa combout (404:404:404) (450:450:450)) + (IOPATH datab combout (415:415:415) (425:425:425)) + (IOPATH datac combout (301:301:301) (283:283:283)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m\[7\]\~1) + (DELAY + (ABSOLUTE + (PORT datab (377:377:377) (444:444:444)) + (PORT datac (332:332:332) (417:417:417)) + (PORT datad (556:556:556) (550:550:550)) + (IOPATH datab combout (437:437:437) (451:451:451)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~6) + (DELAY + (ABSOLUTE + (PORT dataa (630:630:630) (594:594:594)) + (PORT datab (350:350:350) (408:408:408)) + (PORT datac (1213:1213:1213) (1094:1094:1094)) + (PORT datad (249:249:249) (257:257:257)) + (IOPATH dataa combout (414:414:414) (450:450:450)) + (IOPATH datab combout (423:423:423) (453:453:453)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~8) + (DELAY + (ABSOLUTE + (PORT dataa (980:980:980) (902:902:902)) + (PORT datab (1218:1218:1218) (1081:1081:1081)) + (PORT datac (548:548:548) (541:541:541)) + (IOPATH dataa combout (404:404:404) (450:450:450)) + (IOPATH datab combout (406:406:406) (453:453:453)) + (IOPATH datac combout (305:305:305) (285:285:285)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~37) + (DELAY + (ABSOLUTE + (PORT dataa (883:883:883) (744:744:744)) + (PORT datab (559:559:559) (500:500:500)) + (PORT datac (852:852:852) (730:730:730)) + (PORT datad (233:233:233) (244:244:244)) + (IOPATH dataa combout (428:428:428) (450:450:450)) + (IOPATH datab combout (384:384:384) (398:398:398)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_clkctrl") + (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|wire_pll1_clk\[0\]\~clkctrl) + (DELAY + (ABSOLUTE + (PORT inclk[0] (2044:2044:2044) (2012:2012:2012)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[3\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (438:438:438) (363:363:363)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[2\]\~feeder) + (DELAY + (ABSOLUTE + (PORT dataa (1096:1096:1096) (918:918:918)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[3\]\~feeder) + (DELAY + (ABSOLUTE + (PORT dataa (858:858:858) (727:727:727)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[4\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (465:465:465) (385:385:385)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[4\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datab (1152:1152:1152) (942:942:942)) + (IOPATH datab combout (437:437:437) (425:425:425)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (227:227:227) (235:235:235)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[7\]\~feeder) + (DELAY + (ABSOLUTE + (PORT dataa (271:271:271) (283:283:283)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE tmds_clk_p\~output) + (DELAY + (ABSOLUTE + (IOPATH i o (2488:2488:2488) (2436:2436:2436)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE tmds_clk_n\~output) + (DELAY + (ABSOLUTE + (IOPATH i o (2488:2488:2488) (2436:2436:2436)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE tmds_data_p\[0\]\~output) + (DELAY + (ABSOLUTE + (IOPATH i o (2498:2498:2498) (2446:2446:2446)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE tmds_data_p\[1\]\~output) + (DELAY + (ABSOLUTE + (IOPATH i o (2498:2498:2498) (2446:2446:2446)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE tmds_data_p\[2\]\~output) + (DELAY + (ABSOLUTE + (IOPATH i o (2498:2498:2498) (2446:2446:2446)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE tmds_data_n\[0\]\~output) + (DELAY + (ABSOLUTE + (IOPATH i o (2488:2488:2488) (2436:2436:2436)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE tmds_data_n\[1\]\~output) + (DELAY + (ABSOLUTE + (IOPATH i o (2508:2508:2508) (2456:2456:2456)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE tmds_data_n\[2\]\~output) + (DELAY + (ABSOLUTE + (IOPATH i o (2498:2498:2498) (2446:2446:2446)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\~0) + (DELAY + (ABSOLUTE + (PORT datab (422:422:422) (506:506:506)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datac combout (415:415:415) (429:429:429)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1645:1645:1645) (1665:1665:1665)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|Add0\~1) + (DELAY + (ABSOLUTE + (PORT datad (285:285:285) (344:344:344)) + (IOPATH datac combout (415:415:415) (429:429:429)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1645:1645:1645) (1665:1665:1665)) + (PORT d (90:90:90) (101:101:101)) + (PORT sclr (994:994:994) (1127:1127:1127)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sclr (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|Add0\~0) + (DELAY + (ABSOLUTE + (PORT datab (321:321:321) (375:375:375)) + (PORT datad (285:285:285) (344:344:344)) + (IOPATH datab combout (384:384:384) (398:398:398)) + (IOPATH datac combout (415:415:415) (429:429:429)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1645:1645:1645) (1665:1665:1665)) + (PORT d (90:90:90) (101:101:101)) + (PORT sclr (994:994:994) (1127:1127:1127)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sclr (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~0) + (DELAY + (ABSOLUTE + (PORT dataa (323:323:323) (382:382:382)) + (PORT datad (380:380:380) (465:465:465)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1645:1645:1645) (1665:1665:1665)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[4\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (378:378:378) (463:463:463)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1645:1645:1645) (1665:1665:1665)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~3) + (DELAY + (ABSOLUTE + (PORT datab (423:423:423) (508:508:508)) + (PORT datac (280:280:280) (343:343:343)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datac combout (305:305:305) (285:285:285)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1645:1645:1645) (1665:1665:1665)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~2) + (DELAY + (ABSOLUTE + (PORT datac (284:284:284) (350:350:350)) + (PORT datad (381:381:381) (466:466:466)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1645:1645:1645) (1665:1665:1665)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~1) + (DELAY + (ABSOLUTE + (PORT datab (323:323:323) (378:378:378)) + (PORT datad (379:379:379) (463:463:463)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1645:1645:1645) (1665:1665:1665)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~0) + (DELAY + (ABSOLUTE + (PORT datab (320:320:320) (374:374:374)) + (PORT datad (379:379:379) (464:464:464)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1645:1645:1645) (1665:1665:1665)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_ibuf") + (INSTANCE sys_clk\~input) + (DELAY + (ABSOLUTE + (IOPATH i o (788:788:788) (813:813:813)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_clkctrl") + (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|wire_pll1_clk\[1\]\~clkctrl) + (DELAY + (ABSOLUTE + (PORT inclk[0] (2044:2044:2044) (2012:2012:2012)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_latch") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi) + (DELAY + (ABSOLUTE + (PORT ENA (1603:1603:1603) (1574:1574:1574)) + (PORT D (1225:1225:1225) (1201:1201:1201)) + (IOPATH (negedge ENA) Q (213:213:213) (213:213:213)) + ) + ) + (TIMINGCHECK + (SETUP D (negedge ENA) (513:513:513)) + (HOLD D (negedge ENA) (112:112:112)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo) + (DELAY + (ABSOLUTE + (PORT clk (1603:1603:1603) (1575:1575:1575)) + (PORT d (1255:1255:1255) (1235:1235:1235)) + (IOPATH (posedge clk) q (213:213:213) (213:213:213)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (104:104:104)) + (HOLD d (posedge clk) (112:112:112)) + ) + ) + (CELL + (CELLTYPE "cycloneive_mux21") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux) + (DELAY + (ABSOLUTE + (PORT A (0:0:0) (0:0:0)) + (PORT B (0:0:0) (0:0:0)) + (PORT S (1761:1761:1761) (1746:1746:1746)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_routing_wire") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay) + (DELAY + (ABSOLUTE + (IOPATH datain dataout (491:491:491) (503:503:503)) + ) + ) + (DELAY + (PATHPULSE datain dataout (491:491:491)) + ) + ) + (CELL + (CELLTYPE "cycloneive_latch") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi) + (DELAY + (ABSOLUTE + (PORT ENA (1606:1606:1606) (1577:1577:1577)) + (PORT D (808:808:808) (887:887:887)) + (IOPATH (negedge ENA) Q (213:213:213) (213:213:213)) + ) + ) + (TIMINGCHECK + (SETUP D (negedge ENA) (513:513:513)) + (HOLD D (negedge ENA) (112:112:112)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo) + (DELAY + (ABSOLUTE + (PORT clk (1606:1606:1606) (1578:1578:1578)) + (PORT d (884:884:884) (970:970:970)) + (IOPATH (posedge clk) q (213:213:213) (213:213:213)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (104:104:104)) + (HOLD d (posedge clk) (112:112:112)) + ) + ) + (CELL + (CELLTYPE "cycloneive_mux21") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux) + (DELAY + (ABSOLUTE + (PORT A (0:0:0) (0:0:0)) + (PORT B (0:0:0) (0:0:0)) + (PORT S (1764:1764:1764) (1749:1749:1749)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_routing_wire") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay) + (DELAY + (ABSOLUTE + (IOPATH datain dataout (491:491:491) (503:503:503)) + ) + ) + (DELAY + (PATHPULSE datain dataout (491:491:491)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~0) + (DELAY + (ABSOLUTE + (PORT datab (347:347:347) (404:404:404)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_ibuf") + (INSTANCE sys_rst_n\~input) + (DELAY + (ABSOLUTE + (IOPATH i o (748:748:748) (773:773:773)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll_lock_sync) + (DELAY + (ABSOLUTE + (PORT clk (1311:1311:1311) (1435:1435:1435)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (4641:4641:4641) (4614:4614:4614)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE rst_n\~0) + (DELAY + (ABSOLUTE + (PORT dataa (3981:3981:3981) (3957:3957:3957)) + (PORT datab (318:318:318) (372:372:372)) + (PORT datad (652:652:652) (760:760:760)) + (IOPATH dataa combout (374:374:374) (392:392:392)) + (IOPATH datab combout (384:384:384) (398:398:398)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_clkctrl") + (INSTANCE rst_n\~0clkctrl) + (DELAY + (ABSOLUTE + (PORT inclk[0] (1744:1744:1744) (1558:1558:1558)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1644:1644:1644) (1664:1664:1664)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1686:1686:1686) (1640:1640:1640)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~4) + (DELAY + (ABSOLUTE + (PORT dataa (348:348:348) (411:411:411)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~6) + (DELAY + (ABSOLUTE + (PORT datab (347:347:347) (406:406:406)) + (IOPATH datab combout (423:423:423) (451:451:451)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1644:1644:1644) (1664:1664:1664)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1686:1686:1686) (1640:1640:1640)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~8) + (DELAY + (ABSOLUTE + (PORT datab (339:339:339) (394:394:394)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1644:1644:1644) (1664:1664:1664)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1686:1686:1686) (1640:1640:1640)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~12) + (DELAY + (ABSOLUTE + (PORT datab (339:339:339) (395:395:395)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[6\]) + (DELAY + (ABSOLUTE + (PORT clk (1644:1644:1644) (1664:1664:1664)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1686:1686:1686) (1640:1640:1640)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~14) + (DELAY + (ABSOLUTE + (PORT dataa (373:373:373) (436:436:436)) + (IOPATH dataa combout (414:414:414) (444:444:444)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[7\]) + (DELAY + (ABSOLUTE + (PORT clk (1644:1644:1644) (1664:1664:1664)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1686:1686:1686) (1640:1640:1640)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1644:1644:1644) (1664:1664:1664)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1686:1686:1686) (1640:1640:1640)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Equal0\~0) + (DELAY + (ABSOLUTE + (PORT dataa (350:350:350) (413:413:413)) + (PORT datab (347:347:347) (405:405:405)) + (PORT datac (304:304:304) (370:370:370)) + (PORT datad (306:306:306) (366:366:366)) + (IOPATH dataa combout (351:351:351) (371:371:371)) + (IOPATH datab combout (357:357:357) (380:380:380)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~16) + (DELAY + (ABSOLUTE + (PORT datab (365:365:365) (420:420:420)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~18) + (DELAY + (ABSOLUTE + (PORT datab (373:373:373) (431:431:431)) + (IOPATH datab combout (423:423:423) (451:451:451)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~20) + (DELAY + (ABSOLUTE + (PORT datab (369:369:369) (427:427:427)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[10\]) + (DELAY + (ABSOLUTE + (PORT clk (1644:1644:1644) (1664:1664:1664)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1686:1686:1686) (1640:1640:1640)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~22) + (DELAY + (ABSOLUTE + (PORT dataa (373:373:373) (435:435:435)) + (IOPATH dataa combout (435:435:435) (444:444:444)) + (IOPATH cin combout (549:549:549) (519:519:519)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[11\]) + (DELAY + (ABSOLUTE + (PORT clk (1644:1644:1644) (1664:1664:1664)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1686:1686:1686) (1640:1640:1640)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Equal0\~1) + (DELAY + (ABSOLUTE + (PORT dataa (374:374:374) (438:438:438)) + (PORT datab (372:372:372) (429:429:429)) + (PORT datac (330:330:330) (398:398:398)) + (PORT datad (327:327:327) (386:386:386)) + (IOPATH dataa combout (349:349:349) (377:377:377)) + (IOPATH datab combout (354:354:354) (380:380:380)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_h\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1087:1087:1087) (904:904:904)) + (PORT datab (905:905:905) (788:788:788)) + (PORT datac (900:900:900) (772:772:772)) + (PORT datad (1117:1117:1117) (923:923:923)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + (IOPATH datab combout (384:384:384) (398:398:398)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1682:1682:1682) (1637:1637:1637)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Equal0\~2) + (DELAY + (ABSOLUTE + (PORT dataa (655:655:655) (609:609:609)) + (PORT datab (1236:1236:1236) (1078:1078:1078)) + (PORT datac (567:567:567) (540:540:540)) + (PORT datad (561:561:561) (539:539:539)) + (IOPATH dataa combout (420:420:420) (371:371:371)) + (IOPATH datab combout (423:423:423) (451:451:451)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_h\~2) + (DELAY + (ABSOLUTE + (PORT dataa (303:303:303) (320:320:320)) + (PORT datab (270:270:270) (277:277:277)) + (PORT datac (257:257:257) (276:276:276)) + (PORT datad (752:752:752) (608:608:608)) + (IOPATH dataa combout (374:374:374) (392:392:392)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (1644:1644:1644) (1664:1664:1664)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1686:1686:1686) (1640:1640:1640)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_h\~1) + (DELAY + (ABSOLUTE + (PORT dataa (303:303:303) (320:320:320)) + (PORT datab (300:300:300) (310:310:310)) + (PORT datac (229:229:229) (244:244:244)) + (PORT datad (752:752:752) (608:608:608)) + (IOPATH dataa combout (374:374:374) (392:392:392)) + (IOPATH datab combout (384:384:384) (398:398:398)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[9\]) + (DELAY + (ABSOLUTE + (PORT clk (1644:1644:1644) (1664:1664:1664)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1686:1686:1686) (1640:1640:1640)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|LessThan4\~0) + (DELAY + (ABSOLUTE + (PORT datab (1233:1233:1233) (1075:1075:1075)) + (PORT datac (566:566:566) (539:539:539)) + (PORT datad (561:561:561) (539:539:539)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add4\~0) + (DELAY + (ABSOLUTE + (PORT dataa (591:591:591) (582:582:582)) + (PORT datab (651:651:651) (615:615:615)) + (PORT datac (599:599:599) (581:581:581)) + (PORT datad (740:740:740) (597:597:597)) + (IOPATH dataa combout (435:435:435) (444:444:444)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~1) + (DELAY + (ABSOLUTE + (PORT dataa (605:605:605) (556:556:556)) + (PORT datab (858:858:858) (750:750:750)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab cout (497:497:497) (381:381:381)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~3) + (DELAY + (ABSOLUTE + (PORT dataa (605:605:605) (556:556:556)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~5) + (DELAY + (ABSOLUTE + (PORT dataa (550:550:550) (531:531:531)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~7) + (DELAY + (ABSOLUTE + (PORT dataa (623:623:623) (581:581:581)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~9) + (DELAY + (ABSOLUTE + (PORT datab (1229:1229:1229) (1070:1070:1070)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~10) + (DELAY + (ABSOLUTE + (PORT datab (622:622:622) (579:579:579)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~12) + (DELAY + (ABSOLUTE + (PORT datab (564:564:564) (543:543:543)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~14) + (DELAY + (ABSOLUTE + (PORT dataa (649:649:649) (602:602:602)) + (IOPATH dataa combout (428:428:428) (450:450:450)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~16) + (DELAY + (ABSOLUTE + (PORT dataa (632:632:632) (586:586:586)) + (IOPATH dataa combout (420:420:420) (400:400:400)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~18) + (DELAY + (ABSOLUTE + (PORT datab (628:628:628) (578:578:578)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~12) + (DELAY + (ABSOLUTE + (PORT dataa (905:905:905) (779:779:779)) + (PORT datab (561:561:561) (502:502:502)) + (PORT datac (511:511:511) (467:467:467)) + (PORT datad (517:517:517) (464:464:464)) + (IOPATH dataa combout (420:420:420) (371:371:371)) + (IOPATH datab combout (423:423:423) (451:451:451)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~5) + (DELAY + (ABSOLUTE + (PORT dataa (592:592:592) (584:584:584)) + (PORT datac (600:600:600) (583:583:583)) + (IOPATH dataa combout (435:435:435) (444:444:444)) + (IOPATH datac combout (305:305:305) (285:285:285)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Equal0\~3) + (DELAY + (ABSOLUTE + (PORT datab (948:948:948) (802:802:802)) + (PORT datac (859:859:859) (751:751:751)) + (PORT datad (1120:1120:1120) (926:926:926)) + (IOPATH datab combout (380:380:380) (380:380:380)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[7\]\~7) + (DELAY + (ABSOLUTE + (PORT dataa (484:484:484) (417:417:417)) + (PORT datab (368:368:368) (394:394:394)) + (PORT datad (1173:1173:1173) (1001:1001:1001)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datac combout (415:415:415) (429:429:429)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[7\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1662:1662:1662)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1682:1682:1682) (1639:1639:1639)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[5\]\~10) + (DELAY + (ABSOLUTE + (PORT dataa (272:272:272) (284:284:284)) + (PORT datab (1427:1427:1427) (1174:1174:1174)) + (PORT datad (556:556:556) (495:495:495)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + (IOPATH datab combout (393:393:393) (431:431:431)) + (IOPATH datac combout (415:415:415) (429:429:429)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1662:1662:1662)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1682:1682:1682) (1638:1638:1638)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[8\]\~6) + (DELAY + (ABSOLUTE + (PORT dataa (746:746:746) (616:616:616)) + (PORT datab (367:367:367) (393:393:393)) + (PORT datad (1174:1174:1174) (1001:1001:1001)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datac combout (415:415:415) (429:429:429)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1662:1662:1662)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1682:1682:1682) (1639:1639:1639)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|always1\~0) + (DELAY + (ABSOLUTE + (PORT dataa (347:347:347) (409:409:409)) + (PORT datab (345:345:345) (403:403:403)) + (PORT datac (544:544:544) (511:511:511)) + (PORT datad (304:304:304) (362:362:362)) + (IOPATH dataa combout (404:404:404) (450:450:450)) + (IOPATH datab combout (406:406:406) (453:453:453)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[1\]\~1) + (DELAY + (ABSOLUTE + (PORT dataa (748:748:748) (635:635:635)) + (PORT datab (364:364:364) (390:390:390)) + (PORT datad (1179:1179:1179) (1007:1007:1007)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datac combout (415:415:415) (429:429:429)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1662:1662:1662)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1682:1682:1682) (1639:1639:1639)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[4\]\~5) + (DELAY + (ABSOLUTE + (PORT dataa (536:536:536) (437:437:437)) + (PORT datab (362:362:362) (388:388:388)) + (PORT datad (1182:1182:1182) (1011:1011:1011)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datac combout (415:415:415) (429:429:429)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1662:1662:1662)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1682:1682:1682) (1639:1639:1639)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|always1\~1) + (DELAY + (ABSOLUTE + (PORT dataa (804:804:804) (668:668:668)) + (PORT datab (297:297:297) (307:307:307)) + (PORT datac (307:307:307) (374:374:374)) + (PORT datad (307:307:307) (366:366:366)) + (IOPATH dataa combout (408:408:408) (425:425:425)) + (IOPATH datab combout (415:415:415) (425:425:425)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~0) + (DELAY + (ABSOLUTE + (PORT datab (337:337:337) (392:392:392)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[0\]\~2) + (DELAY + (ABSOLUTE + (PORT dataa (617:617:617) (537:537:537)) + (PORT datab (268:268:268) (275:275:275)) + (PORT datad (1393:1393:1393) (1142:1142:1142)) + (IOPATH dataa combout (428:428:428) (449:449:449)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datac combout (415:415:415) (429:429:429)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1662:1662:1662)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1682:1682:1682) (1638:1638:1638)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[3\]\~3) + (DELAY + (ABSOLUTE + (PORT dataa (471:471:471) (407:407:407)) + (PORT datab (362:362:362) (388:388:388)) + (PORT datad (1181:1181:1181) (1010:1010:1010)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datac combout (415:415:415) (429:429:429)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1662:1662:1662)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1682:1682:1682) (1639:1639:1639)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|always1\~2) + (DELAY + (ABSOLUTE + (PORT dataa (360:360:360) (427:427:427)) + (PORT datab (371:371:371) (429:429:429)) + (PORT datac (558:558:558) (529:529:529)) + (PORT datad (310:310:310) (370:370:370)) + (IOPATH dataa combout (349:349:349) (371:371:371)) + (IOPATH datab combout (354:354:354) (380:380:380)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[11\]\~0) + (DELAY + (ABSOLUTE + (PORT datab (277:277:277) (286:286:286)) + (PORT datac (228:228:228) (243:243:243)) + (PORT datad (1180:1180:1180) (1008:1008:1008)) + (IOPATH datab combout (380:380:380) (380:380:380)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[9\]\~9) + (DELAY + (ABSOLUTE + (PORT dataa (807:807:807) (652:652:652)) + (PORT datab (367:367:367) (393:393:393)) + (PORT datad (1175:1175:1175) (1002:1002:1002)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datac combout (415:415:415) (429:429:429)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[9\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1662:1662:1662)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1682:1682:1682) (1639:1639:1639)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[6\]\~8) + (DELAY + (ABSOLUTE + (PORT dataa (482:482:482) (412:412:412)) + (PORT datab (368:368:368) (394:394:394)) + (PORT datad (1172:1172:1172) (1000:1000:1000)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datac combout (415:415:415) (429:429:429)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[6\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1662:1662:1662)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1682:1682:1682) (1639:1639:1639)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~4) + (DELAY + (ABSOLUTE + (PORT datab (610:610:610) (564:564:564)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[2\]\~4) + (DELAY + (ABSOLUTE + (PORT dataa (519:519:519) (463:463:463)) + (PORT datab (477:477:477) (402:402:402)) + (PORT datad (1177:1177:1177) (1005:1005:1005)) + (IOPATH dataa combout (428:428:428) (449:449:449)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datac combout (415:415:415) (429:429:429)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1662:1662:1662)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1682:1682:1682) (1639:1639:1639)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~22) + (DELAY + (ABSOLUTE + (PORT datad (298:298:298) (354:354:354)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[11\]\~11) + (DELAY + (ABSOLUTE + (PORT dataa (624:624:624) (545:545:545)) + (PORT datab (267:267:267) (274:274:274)) + (PORT datad (1386:1386:1386) (1136:1136:1136)) + (IOPATH dataa combout (428:428:428) (449:449:449)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datac combout (415:415:415) (429:429:429)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[11\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1662:1662:1662)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1682:1682:1682) (1638:1638:1638)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~2) + (DELAY + (ABSOLUTE + (PORT dataa (874:874:874) (775:775:775)) + (PORT datab (914:914:914) (801:801:801)) + (PORT datac (582:582:582) (557:557:557)) + (PORT datad (535:535:535) (523:523:523)) + (IOPATH dataa combout (404:404:404) (450:450:450)) + (IOPATH datab combout (406:406:406) (453:453:453)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~4) + (DELAY + (ABSOLUTE + (PORT dataa (769:769:769) (645:645:645)) + (PORT datac (813:813:813) (740:740:740)) + (PORT datad (235:235:235) (246:246:246)) + (IOPATH dataa combout (377:377:377) (371:371:371)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~6) + (DELAY + (ABSOLUTE + (PORT dataa (576:576:576) (494:494:494)) + (PORT datab (649:649:649) (614:614:614)) + (PORT datac (598:598:598) (580:580:580)) + (PORT datad (739:739:739) (596:596:596)) + (IOPATH dataa combout (350:350:350) (371:371:371)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~7) + (DELAY + (ABSOLUTE + (PORT dataa (752:752:752) (640:640:640)) + (PORT datab (267:267:267) (275:275:275)) + (PORT datac (246:246:246) (261:261:261)) + (PORT datad (229:229:229) (237:237:237)) + (IOPATH dataa combout (394:394:394) (400:400:400)) + (IOPATH datab combout (400:400:400) (391:391:391)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\[13\]\~11) + (DELAY + (ABSOLUTE + (PORT dataa (559:559:559) (508:508:508)) + (PORT datab (757:757:757) (638:638:638)) + (PORT datac (510:510:510) (466:466:466)) + (PORT datad (518:518:518) (453:453:453)) + (IOPATH dataa combout (349:349:349) (371:371:371)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|always0\~0) + (DELAY + (ABSOLUTE + (PORT dataa (557:557:557) (508:508:508)) + (PORT datab (560:560:560) (501:501:501)) + (PORT datac (856:856:856) (734:734:734)) + (PORT datad (234:234:234) (244:244:244)) + (IOPATH dataa combout (377:377:377) (371:371:371)) + (IOPATH datab combout (380:380:380) (380:380:380)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~13) + (DELAY + (ABSOLUTE + (PORT dataa (491:491:491) (433:433:433)) + (PORT datab (496:496:496) (435:435:435)) + (PORT datac (1492:1492:1492) (1258:1258:1258)) + (PORT datad (866:866:866) (737:737:737)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~17) + (DELAY + (ABSOLUTE + (PORT dataa (561:561:561) (510:510:510)) + (PORT datac (509:509:509) (465:465:465)) + (PORT datad (520:520:520) (455:455:455)) + (IOPATH dataa combout (373:373:373) (380:380:380)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~34) + (DELAY + (ABSOLUTE + (PORT dataa (884:884:884) (745:745:745)) + (PORT datab (560:560:560) (501:501:501)) + (PORT datac (854:854:854) (732:732:732)) + (PORT datad (228:228:228) (235:235:235)) + (IOPATH dataa combout (428:428:428) (450:450:450)) + (IOPATH datab combout (384:384:384) (398:398:398)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\[13\]\~8) + (DELAY + (ABSOLUTE + (PORT dataa (559:559:559) (508:508:508)) + (PORT datad (519:519:519) (453:453:453)) + (IOPATH dataa combout (374:374:374) (392:392:392)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\[13\]\~9) + (DELAY + (ABSOLUTE + (PORT dataa (897:897:897) (770:770:770)) + (PORT datab (558:558:558) (498:498:498)) + (PORT datac (509:509:509) (466:466:466)) + (PORT datad (226:226:226) (234:234:234)) + (IOPATH dataa combout (375:375:375) (371:371:371)) + (IOPATH datab combout (384:384:384) (386:386:386)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\[13\]\~10) + (DELAY + (ABSOLUTE + (PORT dataa (922:922:922) (778:778:778)) + (PORT datac (1361:1361:1361) (1088:1088:1088)) + (PORT datad (822:822:822) (703:703:703)) + (IOPATH dataa combout (374:374:374) (392:392:392)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~18) + (DELAY + (ABSOLUTE + (PORT dataa (862:862:862) (751:751:751)) + (PORT datab (275:275:275) (284:284:284)) + (PORT datac (816:816:816) (698:698:698)) + (PORT datad (245:245:245) (259:259:259)) + (IOPATH dataa combout (392:392:392) (419:419:419)) + (IOPATH datab combout (393:393:393) (431:431:431)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_pic_inst\|pix_data\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1683:1683:1683) (1638:1638:1638)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~20) + (DELAY + (ABSOLUTE + (PORT datad (530:530:530) (508:508:508)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|LessThan17\~4) + (DELAY + (ABSOLUTE + (PORT dataa (913:913:913) (807:807:807)) + (PORT datab (892:892:892) (766:766:766)) + (PORT datac (1152:1152:1152) (975:975:975)) + (PORT datad (906:906:906) (792:792:792)) + (IOPATH dataa combout (392:392:392) (419:419:419)) + (IOPATH datab combout (393:393:393) (431:431:431)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|LessThan17\~3) + (DELAY + (ABSOLUTE + (PORT dataa (909:909:909) (770:770:770)) + (PORT datab (898:898:898) (771:771:771)) + (PORT datac (1469:1469:1469) (1249:1249:1249)) + (PORT datad (226:226:226) (233:233:233)) + (IOPATH dataa combout (350:350:350) (371:371:371)) + (IOPATH datab combout (354:354:354) (380:380:380)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|LessThan14\~0) + (DELAY + (ABSOLUTE + (PORT dataa (912:912:912) (805:805:805)) + (PORT datac (840:840:840) (733:733:733)) + (PORT datad (902:902:902) (788:788:788)) + (IOPATH dataa combout (377:377:377) (371:371:371)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~19) + (DELAY + (ABSOLUTE + (PORT dataa (861:861:861) (751:751:751)) + (PORT datab (549:549:549) (456:456:456)) + (PORT datac (708:708:708) (560:560:560)) + (PORT datad (864:864:864) (735:735:735)) + (IOPATH dataa combout (404:404:404) (450:450:450)) + (IOPATH datab combout (423:423:423) (425:425:425)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~20) + (DELAY + (ABSOLUTE + (PORT datab (283:283:283) (295:295:295)) + (PORT datac (816:816:816) (699:699:699)) + (PORT datad (234:234:234) (245:245:245)) + (IOPATH datab combout (384:384:384) (398:398:398)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_pic_inst\|pix_data\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1683:1683:1683) (1638:1638:1638)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add6\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1141:1141:1141) (937:937:937)) + (PORT datab (855:855:855) (725:725:725)) + (PORT datac (285:285:285) (351:351:351)) + (PORT datad (286:286:286) (344:344:344)) + (IOPATH dataa combout (351:351:351) (371:371:371)) + (IOPATH datab combout (357:357:357) (380:380:380)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_n1\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1683:1683:1683) (1638:1638:1638)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[8\]\~0) + (DELAY + (ABSOLUTE + (PORT datad (1231:1231:1231) (1086:1086:1086)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (1644:1644:1644) (1664:1664:1664)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1685:1685:1685) (1640:1640:1640)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1644:1644:1644) (1664:1664:1664)) + (PORT asdata (1615:1615:1615) (1453:1453:1453)) + (PORT clrn (1685:1685:1685) (1640:1640:1640)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|LessThan6\~0) + (DELAY + (ABSOLUTE + (PORT dataa (615:615:615) (570:570:570)) + (PORT datab (349:349:349) (407:407:407)) + (PORT datac (307:307:307) (373:373:373)) + (PORT datad (318:318:318) (383:383:383)) + (IOPATH dataa combout (392:392:392) (419:419:419)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~1) + (DELAY + (ABSOLUTE + (PORT dataa (269:269:269) (280:280:280)) + (PORT datab (299:299:299) (309:309:309)) + (PORT datac (227:227:227) (242:242:242)) + (PORT datad (302:302:302) (361:361:361)) + (IOPATH dataa combout (414:414:414) (444:444:444)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|rgb\[1\]\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1244:1244:1244) (1043:1043:1043)) + (PORT datab (1460:1460:1460) (1204:1204:1204)) + (PORT datac (287:287:287) (353:353:353)) + (PORT datad (791:791:791) (684:684:684)) + (IOPATH dataa combout (351:351:351) (371:371:371)) + (IOPATH datab combout (357:357:357) (380:380:380)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_reg\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1683:1683:1683) (1638:1638:1638)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|rgb\[2\]\~1) + (DELAY + (ABSOLUTE + (PORT dataa (1245:1245:1245) (1044:1044:1044)) + (PORT datab (854:854:854) (724:724:724)) + (PORT datac (1416:1416:1416) (1170:1170:1170)) + (PORT datad (285:285:285) (343:343:343)) + (IOPATH dataa combout (351:351:351) (371:371:371)) + (IOPATH datab combout (357:357:357) (380:380:380)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_reg\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1683:1683:1683) (1638:1638:1638)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add14\~0) + (DELAY + (ABSOLUTE + (PORT datab (1320:1320:1320) (1144:1144:1144)) + (PORT datac (1136:1136:1136) (1014:1014:1014)) + (PORT datad (1230:1230:1230) (1084:1084:1084)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[3\]\~0) + (DELAY + (ABSOLUTE + (PORT datad (228:228:228) (235:235:235)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1644:1644:1644) (1664:1664:1664)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1685:1685:1685) (1640:1640:1640)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~0) + (DELAY + (ABSOLUTE + (PORT dataa (558:558:558) (545:545:545)) + (PORT datab (928:928:928) (806:806:806)) + (IOPATH dataa combout (408:408:408) (425:425:425)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (415:415:415) (425:425:425)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~2) + (DELAY + (ABSOLUTE + (PORT dataa (364:364:364) (424:424:424)) + (IOPATH dataa combout (414:414:414) (444:444:444)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~6) + (DELAY + (ABSOLUTE + (PORT datab (364:364:364) (419:419:419)) + (IOPATH datab combout (437:437:437) (451:451:451)) + (IOPATH cin combout (549:549:549) (519:519:519)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~0) + (DELAY + (ABSOLUTE + (PORT dataa (541:541:541) (527:527:527)) + (PORT datab (924:924:924) (845:845:845)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~2) + (DELAY + (ABSOLUTE + (PORT dataa (627:627:627) (578:578:578)) + (IOPATH dataa combout (428:428:428) (450:450:450)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~6) + (DELAY + (ABSOLUTE + (PORT datad (541:541:541) (518:518:518)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~2) + (DELAY + (ABSOLUTE + (PORT dataa (545:545:545) (494:494:494)) + (PORT datab (493:493:493) (431:431:431)) + (PORT datac (225:225:225) (241:241:241)) + (PORT datad (446:446:446) (386:386:386)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + (IOPATH datab combout (423:423:423) (380:380:380)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[0\]\~0) + (DELAY + (ABSOLUTE + (PORT dataa (864:864:864) (732:732:732)) + (PORT datab (920:920:920) (840:840:840)) + (PORT datad (790:790:790) (688:688:688)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + (IOPATH datab combout (384:384:384) (398:398:398)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1644:1644:1644) (1664:1664:1664)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1685:1685:1685) (1640:1640:1640)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~9) + (DELAY + (ABSOLUTE + (PORT dataa (530:530:530) (472:472:472)) + (PORT datab (270:270:270) (277:277:277)) + (PORT datac (814:814:814) (720:720:720)) + (PORT datad (790:790:790) (688:688:688)) + (IOPATH dataa combout (428:428:428) (449:449:449)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~10) + (DELAY + (ABSOLUTE + (PORT dataa (798:798:798) (723:723:723)) + (PORT datab (477:477:477) (411:411:411)) + (PORT datac (807:807:807) (667:667:667)) + (PORT datad (479:479:479) (406:406:406)) + (IOPATH dataa combout (374:374:374) (392:392:392)) + (IOPATH datab combout (423:423:423) (391:391:391)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add12\~0) + (DELAY + (ABSOLUTE + (PORT datab (1319:1319:1319) (1143:1143:1143)) + (PORT datac (1138:1138:1138) (1016:1016:1016)) + (PORT datad (1233:1233:1233) (1088:1088:1088)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1644:1644:1644) (1664:1664:1664)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1685:1685:1685) (1640:1640:1640)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add14\~1) + (DELAY + (ABSOLUTE + (PORT datab (1320:1320:1320) (1145:1145:1145)) + (PORT datac (1137:1137:1137) (1015:1015:1015)) + (PORT datad (1231:1231:1231) (1085:1085:1085)) + (IOPATH datab combout (423:423:423) (451:451:451)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1644:1644:1644) (1664:1664:1664)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1685:1685:1685) (1640:1640:1640)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~13) + (DELAY + (ABSOLUTE + (PORT dataa (530:530:530) (472:472:472)) + (PORT datab (271:271:271) (278:278:278)) + (PORT datac (862:862:862) (755:755:755)) + (PORT datad (790:790:790) (688:688:688)) + (IOPATH dataa combout (428:428:428) (449:449:449)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~14) + (DELAY + (ABSOLUTE + (PORT dataa (850:850:850) (705:705:705)) + (PORT datab (269:269:269) (276:276:276)) + (PORT datac (764:764:764) (687:687:687)) + (PORT datad (445:445:445) (384:384:384)) + (IOPATH dataa combout (408:408:408) (425:425:425)) + (IOPATH datab combout (415:415:415) (425:425:425)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1644:1644:1644) (1664:1664:1664)) + (PORT asdata (1516:1516:1516) (1390:1390:1390)) + (PORT clrn (1686:1686:1686) (1640:1640:1640)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~15) + (DELAY + (ABSOLUTE + (PORT dataa (545:545:545) (495:495:495)) + (PORT datab (528:528:528) (432:432:432)) + (PORT datac (474:474:474) (393:393:393)) + (PORT datad (868:868:868) (766:766:766)) + (IOPATH dataa combout (408:408:408) (425:425:425)) + (IOPATH datab combout (415:415:415) (425:425:425)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal2\~0) + (DELAY + (ABSOLUTE + (PORT dataa (584:584:584) (582:582:582)) + (PORT datab (636:636:636) (598:598:598)) + (PORT datac (514:514:514) (502:502:502)) + (PORT datad (504:504:504) (488:488:488)) + (IOPATH dataa combout (420:420:420) (450:450:450)) + (IOPATH datab combout (423:423:423) (453:453:453)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal2\~1) + (DELAY + (ABSOLUTE + (PORT datac (842:842:842) (750:750:750)) + (PORT datad (471:471:471) (396:396:396)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\]\~6) + (DELAY + (ABSOLUTE + (PORT dataa (534:534:534) (436:436:436)) + (PORT datab (472:472:472) (407:407:407)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab cout (497:497:497) (381:381:381)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[3\]\~13) + (DELAY + (ABSOLUTE + (PORT dataa (797:797:797) (645:645:645)) + (PORT datab (537:537:537) (447:447:447)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add4\~1) + (DELAY + (ABSOLUTE + (PORT dataa (1239:1239:1239) (1038:1038:1038)) + (PORT datac (1412:1412:1412) (1167:1167:1167)) + (PORT datad (792:792:792) (684:684:684)) + (IOPATH dataa combout (377:377:377) (371:371:371)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg1) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1683:1683:1683) (1638:1638:1638)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg2\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (279:279:279) (334:334:334)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg2) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1683:1683:1683) (1638:1638:1638)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1644:1644:1644) (1664:1664:1664)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1686:1686:1686) (1641:1641:1641)) + (PORT sclr (1345:1345:1345) (1474:1474:1474)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sclr (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_2) + (DELAY + (ABSOLUTE + (PORT dataa (277:277:277) (291:291:291)) + (PORT datab (880:880:880) (779:779:779)) + (PORT datac (604:604:604) (587:587:587)) + (PORT datad (473:473:473) (398:398:398)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + (IOPATH datab combout (384:384:384) (398:398:398)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~6) + (DELAY + (ABSOLUTE + (PORT dataa (271:271:271) (282:282:282)) + (PORT datab (925:925:925) (845:845:845)) + (PORT datac (768:768:768) (709:709:709)) + (PORT datad (790:790:790) (688:688:688)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + (IOPATH datab combout (354:354:354) (380:380:380)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[4\]\~15) + (DELAY + (ABSOLUTE + (PORT dataa (483:483:483) (416:416:416)) + (PORT datad (227:227:227) (234:234:234)) + (IOPATH dataa combout (435:435:435) (444:444:444)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1644:1644:1644) (1664:1664:1664)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1686:1686:1686) (1641:1641:1641)) + (PORT sclr (1345:1345:1345) (1474:1474:1474)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sclr (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~0) + (DELAY + (ABSOLUTE + (PORT dataa (583:583:583) (581:581:581)) + (PORT datab (637:637:637) (599:599:599)) + (PORT datac (514:514:514) (502:502:502)) + (PORT datad (504:504:504) (488:488:488)) + (IOPATH dataa combout (414:414:414) (444:444:444)) + (IOPATH datab combout (384:384:384) (398:398:398)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~2) + (DELAY + (ABSOLUTE + (PORT dataa (536:536:536) (440:440:440)) + (PORT datab (881:881:881) (779:779:779)) + (PORT datac (597:597:597) (575:575:575)) + (PORT datad (466:466:466) (389:389:389)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~1) + (DELAY + (ABSOLUTE + (PORT dataa (788:788:788) (659:659:659)) + (PORT datab (340:340:340) (395:395:395)) + (PORT datad (779:779:779) (640:640:640)) + (IOPATH dataa combout (435:435:435) (419:419:419)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datac combout (415:415:415) (429:429:429)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[1\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (727:727:727) (591:591:591)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|LessThan0\~0) + (DELAY + (ABSOLUTE + (PORT dataa (844:844:844) (780:780:780)) + (PORT datab (630:630:630) (579:579:579)) + (PORT datac (581:581:581) (550:550:550)) + (PORT datad (531:531:531) (509:509:509)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|LessThan0\~1) + (DELAY + (ABSOLUTE + (PORT dataa (658:658:658) (611:611:611)) + (PORT datab (626:626:626) (583:583:583)) + (PORT datac (1194:1194:1194) (1045:1045:1045)) + (PORT datad (226:226:226) (233:233:233)) + (IOPATH dataa combout (428:428:428) (450:450:450)) + (IOPATH datab combout (393:393:393) (431:431:431)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg1) + (DELAY + (ABSOLUTE + (PORT clk (1644:1644:1644) (1664:1664:1664)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1686:1686:1686) (1641:1641:1641)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg2) + (DELAY + (ABSOLUTE + (PORT clk (1644:1644:1644) (1664:1664:1664)) + (PORT asdata (701:701:701) (762:762:762)) + (PORT clrn (1686:1686:1686) (1641:1641:1641)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1645:1645:1645) (1665:1665:1665)) + (PORT d (90:90:90) (101:101:101)) + (PORT asdata (1610:1610:1610) (1507:1507:1507)) + (PORT clrn (1686:1686:1686) (1641:1641:1641)) + (PORT sload (1671:1671:1671) (1859:1859:1859)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sload (posedge clk) (195:195:195)) + (HOLD asdata (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[7\]\~1) + (DELAY + (ABSOLUTE + (PORT datab (1319:1319:1319) (1144:1144:1144)) + (PORT datad (1232:1232:1232) (1087:1087:1087)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (1644:1644:1644) (1664:1664:1664)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1685:1685:1685) (1640:1640:1640)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~4) + (DELAY + (ABSOLUTE + (PORT dataa (866:866:866) (734:734:734)) + (PORT datab (923:923:923) (843:843:843)) + (PORT datac (793:793:793) (692:692:692)) + (PORT datad (790:790:790) (689:689:689)) + (IOPATH dataa combout (435:435:435) (444:444:444)) + (IOPATH datab combout (384:384:384) (386:386:386)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[5\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (668:668:668) (543:543:543)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (1645:1645:1645) (1665:1665:1665)) + (PORT d (90:90:90) (101:101:101)) + (PORT asdata (1613:1613:1613) (1510:1510:1510)) + (PORT clrn (1686:1686:1686) (1641:1641:1641)) + (PORT sload (1671:1671:1671) (1859:1859:1859)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sload (posedge clk) (195:195:195)) + (HOLD asdata (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|LessThan1\~0) + (DELAY + (ABSOLUTE + (PORT dataa (361:361:361) (429:429:429)) + (PORT datab (374:374:374) (433:433:433)) + (PORT datac (525:525:525) (509:509:509)) + (PORT datad (236:236:236) (247:247:247)) + (IOPATH dataa combout (349:349:349) (377:377:377)) + (IOPATH datab combout (354:354:354) (380:380:380)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg1) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1662:1662:1662)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1682:1682:1682) (1639:1639:1639)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg2\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (1353:1353:1353) (1160:1160:1160)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg2) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1683:1683:1683) (1638:1638:1638)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~7) + (DELAY + (ABSOLUTE + (PORT dataa (814:814:814) (666:666:666)) + (PORT datab (1236:1236:1236) (1115:1115:1115)) + (PORT datac (1080:1080:1080) (944:944:944)) + (PORT datad (880:880:880) (802:802:802)) + (IOPATH dataa combout (420:420:420) (400:400:400)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[9\]) + (DELAY + (ABSOLUTE + (PORT clk (1645:1645:1645) (1665:1665:1665)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1686:1686:1686) (1641:1641:1641)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~4) + (DELAY + (ABSOLUTE + (PORT datab (319:319:319) (374:374:374)) + (PORT datac (1021:1021:1021) (987:987:987)) + (IOPATH datab combout (423:423:423) (391:391:391)) + (IOPATH datac combout (305:305:305) (285:285:285)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1645:1645:1645) (1665:1665:1665)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~3) + (DELAY + (ABSOLUTE + (PORT datab (330:330:330) (388:388:388)) + (PORT datac (1022:1022:1022) (987:987:987)) + (PORT datad (278:278:278) (333:333:333)) + (IOPATH datab combout (423:423:423) (391:391:391)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1645:1645:1645) (1665:1665:1665)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~2) + (DELAY + (ABSOLUTE + (PORT datab (331:331:331) (389:389:389)) + (PORT datac (1021:1021:1021) (987:987:987)) + (PORT datad (278:278:278) (333:333:333)) + (IOPATH datab combout (423:423:423) (391:391:391)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1645:1645:1645) (1665:1665:1665)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~1) + (DELAY + (ABSOLUTE + (PORT dataa (323:323:323) (382:382:382)) + (PORT datac (1021:1021:1021) (987:987:987)) + (PORT datad (277:277:277) (332:332:332)) + (IOPATH dataa combout (420:420:420) (400:400:400)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1645:1645:1645) (1665:1665:1665)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~0) + (DELAY + (ABSOLUTE + (PORT datab (322:322:322) (377:377:377)) + (PORT datac (1022:1022:1022) (988:988:988)) + (PORT datad (280:280:280) (335:335:335)) + (IOPATH datab combout (423:423:423) (391:391:391)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1645:1645:1645) (1665:1665:1665)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1645:1645:1645) (1664:1664:1664)) + (PORT d (90:90:90) (101:101:101)) + (PORT asdata (1265:1265:1265) (1211:1211:1211)) + (PORT clrn (1686:1686:1686) (1641:1641:1641)) + (PORT sload (1460:1460:1460) (1597:1597:1597)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sload (posedge clk) (195:195:195)) + (HOLD asdata (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~3) + (DELAY + (ABSOLUTE + (PORT dataa (812:812:812) (663:663:663)) + (PORT datab (1239:1239:1239) (1118:1118:1118)) + (PORT datac (1077:1077:1077) (940:940:940)) + (IOPATH dataa combout (420:420:420) (400:400:400)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datac combout (305:305:305) (285:285:285)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1645:1645:1645) (1665:1665:1665)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1686:1686:1686) (1641:1641:1641)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~1) + (DELAY + (ABSOLUTE + (PORT dataa (322:322:322) (382:382:382)) + (PORT datab (321:321:321) (375:375:375)) + (PORT datac (1022:1022:1022) (987:987:987)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + (IOPATH datab combout (423:423:423) (391:391:391)) + (IOPATH datac combout (305:305:305) (285:285:285)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1645:1645:1645) (1665:1665:1665)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~0) + (DELAY + (ABSOLUTE + (PORT datab (423:423:423) (507:507:507)) + (PORT datac (884:884:884) (808:808:808)) + (PORT datad (865:865:865) (788:788:788)) + (IOPATH datab combout (423:423:423) (391:391:391)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1645:1645:1645) (1665:1665:1665)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_latch") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi) + (DELAY + (ABSOLUTE + (PORT ENA (1606:1606:1606) (1577:1577:1577)) + (PORT D (1189:1189:1189) (1160:1160:1160)) + (IOPATH (negedge ENA) Q (213:213:213) (213:213:213)) + ) + ) + (TIMINGCHECK + (SETUP D (negedge ENA) (513:513:513)) + (HOLD D (negedge ENA) (112:112:112)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo) + (DELAY + (ABSOLUTE + (PORT clk (1606:1606:1606) (1578:1578:1578)) + (PORT d (899:899:899) (940:940:940)) + (IOPATH (posedge clk) q (213:213:213) (213:213:213)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (104:104:104)) + (HOLD d (posedge clk) (112:112:112)) + ) + ) + (CELL + (CELLTYPE "cycloneive_mux21") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux) + (DELAY + (ABSOLUTE + (PORT A (0:0:0) (0:0:0)) + (PORT B (0:0:0) (0:0:0)) + (PORT S (1764:1764:1764) (1749:1749:1749)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_routing_wire") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay) + (DELAY + (ABSOLUTE + (IOPATH datain dataout (491:491:491) (503:503:503)) + ) + ) + (DELAY + (PATHPULSE datain dataout (491:491:491)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|LessThan17\~2) + (DELAY + (ABSOLUTE + (PORT dataa (970:970:970) (838:838:838)) + (PORT datab (891:891:891) (765:765:765)) + (PORT datac (1154:1154:1154) (977:977:977)) + (IOPATH dataa combout (392:392:392) (419:419:419)) + (IOPATH datab combout (393:393:393) (431:431:431)) + (IOPATH datac combout (305:305:305) (285:285:285)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\[9\]\~15) + (DELAY + (ABSOLUTE + (PORT dataa (271:271:271) (283:283:283)) + (PORT datab (914:914:914) (780:780:780)) + (PORT datac (1469:1469:1469) (1248:1248:1248)) + (PORT datad (256:256:256) (267:267:267)) + (IOPATH dataa combout (375:375:375) (371:371:371)) + (IOPATH datab combout (384:384:384) (386:386:386)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~35) + (DELAY + (ABSOLUTE + (PORT dataa (280:280:280) (297:297:297)) + (PORT datab (561:561:561) (501:501:501)) + (PORT datac (856:856:856) (735:735:735)) + (PORT datad (234:234:234) (244:244:244)) + (IOPATH dataa combout (420:420:420) (371:371:371)) + (IOPATH datab combout (423:423:423) (380:380:380)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~36) + (DELAY + (ABSOLUTE + (PORT dataa (290:290:290) (310:310:310)) + (PORT datab (900:900:900) (773:773:773)) + (PORT datac (1152:1152:1152) (975:975:975)) + (PORT datad (241:241:241) (255:255:255)) + (IOPATH dataa combout (420:420:420) (371:371:371)) + (IOPATH datab combout (423:423:423) (451:451:451)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~21) + (DELAY + (ABSOLUTE + (PORT dataa (491:491:491) (432:432:432)) + (PORT datab (495:495:495) (434:434:434)) + (PORT datac (1491:1491:1491) (1257:1257:1257)) + (PORT datad (865:865:865) (737:737:737)) + (IOPATH dataa combout (408:408:408) (425:425:425)) + (IOPATH datab combout (415:415:415) (425:425:425)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~26) + (DELAY + (ABSOLUTE + (PORT dataa (873:873:873) (758:758:758)) + (PORT datab (822:822:822) (717:717:717)) + (PORT datac (231:231:231) (249:249:249)) + (PORT datad (451:451:451) (393:393:393)) + (IOPATH dataa combout (420:420:420) (400:400:400)) + (IOPATH datab combout (380:380:380) (380:380:380)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_x\[11\]\~0) + (DELAY + (ABSOLUTE + (PORT datac (1155:1155:1155) (960:960:960)) + (PORT datad (836:836:836) (721:721:721)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~27) + (DELAY + (ABSOLUTE + (PORT dataa (287:287:287) (307:307:307)) + (PORT datab (284:284:284) (296:296:296)) + (PORT datac (226:226:226) (241:241:241)) + (PORT datad (822:822:822) (707:707:707)) + (IOPATH dataa combout (404:404:404) (450:450:450)) + (IOPATH datab combout (406:406:406) (453:453:453)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_pic_inst\|pix_data\[10\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1683:1683:1683) (1637:1637:1637)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|rgb\[10\]\~2) + (DELAY + (ABSOLUTE + (PORT dataa (821:821:821) (681:681:681)) + (PORT datab (1404:1404:1404) (1153:1153:1153)) + (PORT datac (547:547:547) (523:523:523)) + (PORT datad (1627:1627:1627) (1298:1298:1298)) + (IOPATH dataa combout (351:351:351) (371:371:371)) + (IOPATH datab combout (357:357:357) (380:380:380)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[7\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1682:1682:1682) (1637:1637:1637)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~29) + (DELAY + (ABSOLUTE + (PORT dataa (910:910:910) (804:804:804)) + (PORT datab (890:890:890) (765:765:765)) + (PORT datac (1159:1159:1159) (982:982:982)) + (PORT datad (899:899:899) (785:785:785)) + (IOPATH dataa combout (420:420:420) (392:392:392)) + (IOPATH datab combout (423:423:423) (451:451:451)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~30) + (DELAY + (ABSOLUTE + (PORT dataa (1198:1198:1198) (1016:1016:1016)) + (PORT datab (899:899:899) (772:772:772)) + (PORT datac (1469:1469:1469) (1249:1249:1249)) + (PORT datad (853:853:853) (740:740:740)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~31) + (DELAY + (ABSOLUTE + (PORT datab (266:266:266) (272:272:272)) + (PORT datac (227:227:227) (242:242:242)) + (PORT datad (250:250:250) (258:258:258)) + (IOPATH datab combout (423:423:423) (391:391:391)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_pic_inst\|pix_data\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1683:1683:1683) (1637:1637:1637)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|rgb\[6\]\~4) + (DELAY + (ABSOLUTE + (PORT dataa (823:823:823) (684:684:684)) + (PORT datab (1381:1381:1381) (1133:1133:1133)) + (PORT datac (1359:1359:1359) (1117:1117:1117)) + (PORT datad (536:536:536) (511:511:511)) + (IOPATH dataa combout (351:351:351) (371:371:371)) + (IOPATH datab combout (357:357:357) (380:380:380)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1682:1682:1682) (1637:1637:1637)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add5\~0) + (DELAY + (ABSOLUTE + (PORT dataa (551:551:551) (542:542:542)) + (PORT datab (741:741:741) (608:608:608)) + (PORT datac (549:549:549) (525:525:525)) + (PORT datad (540:540:540) (515:515:515)) + (IOPATH dataa combout (349:349:349) (371:371:371)) + (IOPATH datab combout (380:380:380) (380:380:380)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_n1\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1682:1682:1682) (1637:1637:1637)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~1) + (DELAY + (ABSOLUTE + (PORT dataa (576:576:576) (571:571:571)) + (PORT datab (378:378:378) (446:446:446)) + (PORT datac (339:339:339) (424:424:424)) + (PORT datad (552:552:552) (545:545:545)) + (IOPATH dataa combout (435:435:435) (449:449:449)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1682:1682:1682) (1637:1637:1637)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~28) + (DELAY + (ABSOLUTE + (PORT dataa (870:870:870) (754:754:754)) + (PORT datab (821:821:821) (716:716:716)) + (PORT datac (236:236:236) (254:254:254)) + (PORT datad (448:448:448) (390:390:390)) + (IOPATH dataa combout (420:420:420) (400:400:400)) + (IOPATH datab combout (380:380:380) (380:380:380)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_pic_inst\|pix_data\[9\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1683:1683:1683) (1637:1637:1637)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|rgb\[7\]\~3) + (DELAY + (ABSOLUTE + (PORT dataa (822:822:822) (683:683:683)) + (PORT datab (1382:1382:1382) (1133:1133:1133)) + (PORT datac (1359:1359:1359) (1118:1118:1118)) + (PORT datad (505:505:505) (493:493:493)) + (IOPATH dataa combout (351:351:351) (371:371:371)) + (IOPATH datab combout (357:357:357) (380:380:380)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1682:1682:1682) (1637:1637:1637)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add13\~0) + (DELAY + (ABSOLUTE + (PORT dataa (362:362:362) (428:428:428)) + (PORT datab (380:380:380) (455:455:455)) + (PORT datac (316:316:316) (386:386:386)) + (PORT datad (524:524:524) (501:501:501)) + (IOPATH dataa combout (394:394:394) (419:419:419)) + (IOPATH datab combout (400:400:400) (431:431:431)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1682:1682:1682) (1637:1637:1637)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add13\~1) + (DELAY + (ABSOLUTE + (PORT datab (378:378:378) (446:446:446)) + (PORT datac (340:340:340) (425:425:425)) + (PORT datad (551:551:551) (544:544:544)) + (IOPATH datab combout (423:423:423) (451:451:451)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1682:1682:1682) (1637:1637:1637)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1537:1537:1537) (1369:1369:1369)) + (PORT datab (935:935:935) (821:821:821)) + (PORT datac (790:790:790) (713:713:713)) + (PORT datad (828:828:828) (735:735:735)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~1) + (DELAY + (ABSOLUTE + (PORT dataa (1539:1539:1539) (1372:1372:1372)) + (PORT datab (934:934:934) (820:820:820)) + (PORT datac (792:792:792) (715:715:715)) + (PORT datad (827:827:827) (733:733:733)) + (IOPATH dataa combout (392:392:392) (419:419:419)) + (IOPATH datab combout (393:393:393) (431:431:431)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~2) + (DELAY + (ABSOLUTE + (PORT dataa (573:573:573) (568:568:568)) + (PORT datab (380:380:380) (454:454:454)) + (PORT datac (334:334:334) (410:410:410)) + (PORT datad (556:556:556) (549:549:549)) + (IOPATH dataa combout (404:404:404) (450:450:450)) + (IOPATH datab combout (406:406:406) (453:453:453)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1682:1682:1682) (1637:1637:1637)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1638:1638:1638) (1659:1659:1659)) + (PORT asdata (2045:2045:2045) (1818:1818:1818)) + (PORT clrn (1679:1679:1679) (1635:1635:1635)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~0) + (DELAY + (ABSOLUTE + (PORT dataa (887:887:887) (784:784:784)) + (PORT datab (553:553:553) (528:528:528)) + (IOPATH dataa combout (408:408:408) (425:425:425)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (415:415:415) (425:425:425)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~4) + (DELAY + (ABSOLUTE + (PORT dataa (1492:1492:1492) (1334:1334:1334)) + (PORT datab (894:894:894) (800:800:800)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~0) + (DELAY + (ABSOLUTE + (PORT dataa (575:575:575) (570:570:570)) + (PORT datab (383:383:383) (457:457:457)) + (PORT datac (334:334:334) (410:410:410)) + (PORT datad (554:554:554) (547:547:547)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1682:1682:1682) (1637:1637:1637)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~2) + (DELAY + (ABSOLUTE + (PORT dataa (1099:1099:1099) (950:950:950)) + (PORT datab (1810:1810:1810) (1571:1571:1571)) + (IOPATH dataa combout (414:414:414) (450:450:450)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (423:423:423) (425:425:425)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~9) + (DELAY + (ABSOLUTE + (PORT dataa (900:900:900) (757:757:757)) + (PORT datab (266:266:266) (273:273:273)) + (PORT datac (442:442:442) (391:391:391)) + (PORT datad (1113:1113:1113) (914:914:914)) + (IOPATH dataa combout (428:428:428) (449:449:449)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~10) + (DELAY + (ABSOLUTE + (PORT dataa (270:270:270) (282:282:282)) + (PORT datab (538:538:538) (446:446:446)) + (PORT datac (228:228:228) (244:244:244)) + (PORT datad (1116:1116:1116) (917:917:917)) + (IOPATH dataa combout (375:375:375) (371:371:371)) + (IOPATH datab combout (380:380:380) (380:380:380)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[8\]\~0) + (DELAY + (ABSOLUTE + (PORT datac (316:316:316) (387:387:387)) + (IOPATH datac combout (301:301:301) (283:283:283)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1682:1682:1682) (1637:1637:1637)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~15) + (DELAY + (ABSOLUTE + (PORT dataa (269:269:269) (281:281:281)) + (PORT datab (269:269:269) (276:276:276)) + (PORT datac (809:809:809) (681:681:681)) + (PORT datad (891:891:891) (781:781:781)) + (IOPATH dataa combout (377:377:377) (371:371:371)) + (IOPATH datab combout (423:423:423) (391:391:391)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\]\~7) + (DELAY + (ABSOLUTE + (PORT dataa (811:811:811) (663:663:663)) + (PORT datab (1504:1504:1504) (1242:1242:1242)) + (IOPATH dataa combout (428:428:428) (449:449:449)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1682:1682:1682) (1637:1637:1637)) + (PORT sclr (1329:1329:1329) (1447:1447:1447)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sclr (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal1\~0) + (DELAY + (ABSOLUTE + (PORT dataa (340:340:340) (400:400:400)) + (PORT datab (840:840:840) (726:726:726)) + (PORT datac (296:296:296) (360:360:360)) + (PORT datad (299:299:299) (354:354:354)) + (IOPATH dataa combout (404:404:404) (450:450:450)) + (IOPATH datab combout (406:406:406) (453:453:453)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal1\~1) + (DELAY + (ABSOLUTE + (PORT datab (279:279:279) (289:289:289)) + (PORT datad (308:308:308) (367:367:367)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\]\~6) + (DELAY + (ABSOLUTE + (PORT dataa (271:271:271) (282:282:282)) + (PORT datab (269:269:269) (276:276:276)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab cout (497:497:497) (381:381:381)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[1\]\~9) + (DELAY + (ABSOLUTE + (PORT dataa (811:811:811) (659:659:659)) + (PORT datab (469:469:469) (404:404:404)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[2\]\~11) + (DELAY + (ABSOLUTE + (PORT dataa (532:532:532) (433:433:433)) + (PORT datab (736:736:736) (602:602:602)) + (IOPATH dataa combout (428:428:428) (449:449:449)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1682:1682:1682) (1637:1637:1637)) + (PORT sclr (1329:1329:1329) (1447:1447:1447)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sclr (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~3) + (DELAY + (ABSOLUTE + (PORT dataa (902:902:902) (759:759:759)) + (PORT datab (268:268:268) (275:275:275)) + (PORT datac (224:224:224) (239:239:239)) + (PORT datad (1114:1114:1114) (915:915:915)) + (IOPATH dataa combout (414:414:414) (444:444:444)) + (IOPATH datab combout (380:380:380) (380:380:380)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~4) + (DELAY + (ABSOLUTE + (PORT dataa (270:270:270) (282:282:282)) + (PORT datab (267:267:267) (274:274:274)) + (PORT datac (693:693:693) (567:567:567)) + (PORT datad (809:809:809) (688:688:688)) + (IOPATH dataa combout (375:375:375) (371:371:371)) + (IOPATH datab combout (380:380:380) (380:380:380)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[3\]\~13) + (DELAY + (ABSOLUTE + (PORT dataa (269:269:269) (281:281:281)) + (PORT datab (792:792:792) (640:640:640)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1682:1682:1682) (1637:1637:1637)) + (PORT sclr (1329:1329:1329) (1447:1447:1447)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sclr (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal2\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1535:1535:1535) (1367:1367:1367)) + (PORT datab (935:935:935) (821:821:821)) + (PORT datac (789:789:789) (712:712:712)) + (PORT datad (829:829:829) (736:736:736)) + (IOPATH dataa combout (414:414:414) (450:450:450)) + (IOPATH datab combout (423:423:423) (453:453:453)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_2) + (DELAY + (ABSOLUTE + (PORT dataa (890:890:890) (785:785:785)) + (PORT datab (346:346:346) (404:404:404)) + (PORT datac (783:783:783) (626:626:626)) + (PORT datad (238:238:238) (250:250:250)) + (IOPATH dataa combout (414:414:414) (444:444:444)) + (IOPATH datab combout (384:384:384) (398:398:398)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[0\]\~0) + (DELAY + (ABSOLUTE + (PORT dataa (955:955:955) (772:772:772)) + (PORT datab (793:793:793) (677:677:677)) + (PORT datad (786:786:786) (719:719:719)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~11) + (DELAY + (ABSOLUTE + (PORT dataa (272:272:272) (284:284:284)) + (PORT datab (268:268:268) (275:275:275)) + (PORT datac (1024:1024:1024) (835:835:835)) + (PORT datad (514:514:514) (451:451:451)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + (IOPATH datab combout (423:423:423) (391:391:391)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~12) + (DELAY + (ABSOLUTE + (PORT dataa (856:856:856) (705:705:705)) + (PORT datab (936:936:936) (822:822:822)) + (PORT datac (794:794:794) (717:717:717)) + (PORT datad (225:225:225) (232:232:232)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1682:1682:1682) (1637:1637:1637)) + (PORT sclr (1329:1329:1329) (1447:1447:1447)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sclr (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~6) + (DELAY + (ABSOLUTE + (PORT datad (567:567:567) (552:552:552)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~2) + (DELAY + (ABSOLUTE + (PORT dataa (728:728:728) (600:600:600)) + (PORT datab (785:785:785) (631:631:631)) + (PORT datac (756:756:756) (628:628:628)) + (PORT datad (756:756:756) (643:643:643)) + (IOPATH dataa combout (420:420:420) (400:400:400)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[4\]\~15) + (DELAY + (ABSOLUTE + (PORT dataa (788:788:788) (658:658:658)) + (PORT datad (444:444:444) (385:385:385)) + (IOPATH dataa combout (435:435:435) (444:444:444)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1682:1682:1682) (1637:1637:1637)) + (PORT sclr (1329:1329:1329) (1447:1447:1447)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sclr (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~2) + (DELAY + (ABSOLUTE + (PORT dataa (916:916:916) (794:794:794)) + (PORT datab (268:268:268) (275:275:275)) + (PORT datac (227:227:227) (242:242:242)) + (PORT datad (566:566:566) (551:551:551)) + (IOPATH dataa combout (414:414:414) (444:444:444)) + (IOPATH datab combout (380:380:380) (380:380:380)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT asdata (740:740:740) (810:810:810)) + (PORT clrn (1682:1682:1682) (1637:1637:1637)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~1) + (DELAY + (ABSOLUTE + (PORT dataa (361:361:361) (429:429:429)) + (PORT datab (810:810:810) (665:665:665)) + (PORT datad (751:751:751) (637:637:637)) + (IOPATH dataa combout (377:377:377) (380:380:380)) + (IOPATH datab combout (437:437:437) (451:451:451)) + (IOPATH datac combout (415:415:415) (429:429:429)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[3\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (767:767:767) (617:617:617)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT asdata (1638:1638:1638) (1516:1516:1516)) + (PORT clrn (1683:1683:1683) (1638:1638:1638)) + (PORT sload (1285:1285:1285) (1355:1355:1355)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sload (posedge clk) (195:195:195)) + (HOLD asdata (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~3) + (DELAY + (ABSOLUTE + (PORT dataa (777:777:777) (690:690:690)) + (PORT datab (792:792:792) (675:675:675)) + (PORT datac (971:971:971) (761:761:761)) + (PORT datad (786:786:786) (719:719:719)) + (IOPATH dataa combout (435:435:435) (449:449:449)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[5\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (227:227:227) (234:234:234)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT asdata (1641:1641:1641) (1520:1520:1520)) + (PORT clrn (1683:1683:1683) (1638:1638:1638)) + (PORT sload (1285:1285:1285) (1355:1355:1355)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sload (posedge clk) (195:195:195)) + (HOLD asdata (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~2) + (DELAY + (ABSOLUTE + (PORT dataa (322:322:322) (381:381:381)) + (PORT datab (320:320:320) (374:374:374)) + (PORT datac (991:991:991) (941:941:941)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + (IOPATH datab combout (423:423:423) (391:391:391)) + (IOPATH datac combout (305:305:305) (285:285:285)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~1) + (DELAY + (ABSOLUTE + (PORT datab (326:326:326) (384:384:384)) + (PORT datac (989:989:989) (938:938:938)) + (PORT datad (280:280:280) (335:335:335)) + (IOPATH datab combout (423:423:423) (391:391:391)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~0) + (DELAY + (ABSOLUTE + (PORT datab (331:331:331) (389:389:389)) + (PORT datac (991:991:991) (941:941:941)) + (PORT datad (280:280:280) (335:335:335)) + (IOPATH datab combout (423:423:423) (391:391:391)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~7) + (DELAY + (ABSOLUTE + (PORT dataa (964:964:964) (882:882:882)) + (PORT datac (1399:1399:1399) (1220:1220:1220)) + (PORT datad (1212:1212:1212) (1073:1073:1073)) + (IOPATH dataa combout (428:428:428) (450:450:450)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (1647:1647:1647) (1667:1667:1667)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1689:1689:1689) (1643:1643:1643)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~4) + (DELAY + (ABSOLUTE + (PORT datab (320:320:320) (374:374:374)) + (PORT datad (996:996:996) (951:951:951)) + (IOPATH datab combout (380:380:380) (380:380:380)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1647:1647:1647) (1667:1667:1667)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~3) + (DELAY + (ABSOLUTE + (PORT dataa (333:333:333) (397:397:397)) + (PORT datab (1038:1038:1038) (991:991:991)) + (PORT datac (277:277:277) (340:340:340)) + (IOPATH dataa combout (408:408:408) (425:425:425)) + (IOPATH datab combout (415:415:415) (431:431:431)) + (IOPATH datac combout (305:305:305) (285:285:285)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1647:1647:1647) (1667:1667:1667)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~2) + (DELAY + (ABSOLUTE + (PORT dataa (323:323:323) (383:383:383)) + (PORT datab (1038:1038:1038) (991:991:991)) + (PORT datac (277:277:277) (340:340:340)) + (IOPATH dataa combout (408:408:408) (425:425:425)) + (IOPATH datab combout (415:415:415) (431:431:431)) + (IOPATH datac combout (305:305:305) (285:285:285)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1647:1647:1647) (1667:1667:1667)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~1) + (DELAY + (ABSOLUTE + (PORT dataa (332:332:332) (396:396:396)) + (PORT datab (1039:1039:1039) (992:992:992)) + (PORT datad (278:278:278) (333:333:333)) + (IOPATH dataa combout (408:408:408) (425:425:425)) + (IOPATH datab combout (415:415:415) (425:425:425)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1647:1647:1647) (1667:1667:1667)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~0) + (DELAY + (ABSOLUTE + (PORT dataa (971:971:971) (868:868:868)) + (PORT datab (1039:1039:1039) (992:992:992)) + (PORT datac (278:278:278) (341:341:341)) + (IOPATH dataa combout (408:408:408) (425:425:425)) + (IOPATH datab combout (415:415:415) (431:431:431)) + (IOPATH datac combout (305:305:305) (285:285:285)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1647:1647:1647) (1667:1667:1667)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_latch") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi) + (DELAY + (ABSOLUTE + (PORT ENA (1609:1609:1609) (1580:1580:1580)) + (PORT D (819:819:819) (853:853:853)) + (IOPATH (negedge ENA) Q (213:213:213) (213:213:213)) + ) + ) + (TIMINGCHECK + (SETUP D (negedge ENA) (513:513:513)) + (HOLD D (negedge ENA) (112:112:112)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo) + (DELAY + (ABSOLUTE + (PORT clk (1609:1609:1609) (1581:1581:1581)) + (PORT d (1240:1240:1240) (1235:1235:1235)) + (IOPATH (posedge clk) q (213:213:213) (213:213:213)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (104:104:104)) + (HOLD d (posedge clk) (112:112:112)) + ) + ) + (CELL + (CELLTYPE "cycloneive_mux21") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux) + (DELAY + (ABSOLUTE + (PORT A (0:0:0) (0:0:0)) + (PORT B (0:0:0) (0:0:0)) + (PORT S (1767:1767:1767) (1752:1752:1752)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_routing_wire") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay) + (DELAY + (ABSOLUTE + (IOPATH datain dataout (491:491:491) (503:503:503)) + ) + ) + (DELAY + (PATHPULSE datain dataout (491:491:491)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~33) + (DELAY + (ABSOLUTE + (PORT dataa (853:853:853) (739:739:739)) + (PORT datab (283:283:283) (296:296:296)) + (PORT datad (234:234:234) (245:245:245)) + (IOPATH dataa combout (408:408:408) (425:425:425)) + (IOPATH datab combout (415:415:415) (425:425:425)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_pic_inst\|pix_data\[13\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1683:1683:1683) (1638:1638:1638)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|rgb\[13\]\~6) + (DELAY + (ABSOLUTE + (PORT dataa (1242:1242:1242) (1041:1041:1041)) + (PORT datab (1459:1459:1459) (1203:1203:1203)) + (PORT datac (287:287:287) (353:353:353)) + (PORT datad (791:791:791) (684:684:684)) + (IOPATH dataa combout (351:351:351) (371:371:371)) + (IOPATH datab combout (357:357:357) (380:380:380)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_reg\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1683:1683:1683) (1638:1638:1638)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~32) + (DELAY + (ABSOLUTE + (PORT dataa (852:852:852) (738:738:738)) + (PORT datab (274:274:274) (284:284:284)) + (PORT datac (835:835:835) (716:716:716)) + (PORT datad (821:821:821) (708:708:708)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_pic_inst\|pix_data\[15\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1683:1683:1683) (1638:1638:1638)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add6\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1141:1141:1141) (936:936:936)) + (PORT datab (854:854:854) (724:724:724)) + (PORT datac (287:287:287) (353:353:353)) + (PORT datad (512:512:512) (499:499:499)) + (IOPATH dataa combout (351:351:351) (371:371:371)) + (IOPATH datab combout (357:357:357) (380:380:380)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_n1\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1683:1683:1683) (1638:1638:1638)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|rgb\[12\]\~5) + (DELAY + (ABSOLUTE + (PORT dataa (1241:1241:1241) (1040:1040:1040)) + (PORT datab (1458:1458:1458) (1203:1203:1203)) + (PORT datac (301:301:301) (365:365:365)) + (PORT datad (792:792:792) (684:684:684)) + (IOPATH dataa combout (351:351:351) (371:371:371)) + (IOPATH datab combout (357:357:357) (380:380:380)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_reg\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1683:1683:1683) (1638:1638:1638)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add12\~0) + (DELAY + (ABSOLUTE + (PORT datab (965:965:965) (885:885:885)) + (PORT datac (989:989:989) (936:936:936)) + (PORT datad (924:924:924) (869:869:869)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1649:1649:1649) (1668:1668:1668)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1690:1690:1690) (1645:1645:1645)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add14\~0) + (DELAY + (ABSOLUTE + (PORT datab (965:965:965) (885:885:885)) + (PORT datac (990:990:990) (937:937:937)) + (PORT datad (924:924:924) (869:869:869)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1649:1649:1649) (1668:1668:1668)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1690:1690:1690) (1645:1645:1645)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add12\~1) + (DELAY + (ABSOLUTE + (PORT datac (983:983:983) (928:928:928)) + (PORT datad (921:921:921) (866:866:866)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1649:1649:1649) (1668:1668:1668)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1690:1690:1690) (1645:1645:1645)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~0) + (DELAY + (ABSOLUTE + (PORT dataa (893:893:893) (811:811:811)) + (PORT datab (889:889:889) (790:790:790)) + (PORT datac (880:880:880) (781:781:781)) + (PORT datad (799:799:799) (719:719:719)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[8\]\~0) + (DELAY + (ABSOLUTE + (PORT datac (989:989:989) (936:936:936)) + (IOPATH datac combout (301:301:301) (283:283:283)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (1649:1649:1649) (1668:1668:1668)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1690:1690:1690) (1645:1645:1645)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal2\~0) + (DELAY + (ABSOLUTE + (PORT dataa (894:894:894) (813:813:813)) + (PORT datab (896:896:896) (797:797:797)) + (PORT datac (888:888:888) (790:790:790)) + (PORT datad (796:796:796) (715:715:715)) + (IOPATH dataa combout (408:408:408) (450:450:450)) + (IOPATH datab combout (415:415:415) (453:453:453)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~0) + (DELAY + (ABSOLUTE + (PORT dataa (928:928:928) (801:801:801)) + (PORT datab (838:838:838) (752:752:752)) + (IOPATH dataa combout (408:408:408) (425:425:425)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (415:415:415) (425:425:425)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~5) + (DELAY + (ABSOLUTE + (PORT dataa (269:269:269) (280:280:280)) + (PORT datab (270:270:270) (277:277:277)) + (PORT datac (820:820:820) (690:690:690)) + (PORT datad (762:762:762) (621:621:621)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + (IOPATH datab combout (377:377:377) (380:380:380)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~6) + (DELAY + (ABSOLUTE + (PORT dataa (873:873:873) (767:767:767)) + (PORT datab (844:844:844) (759:759:759)) + (PORT datac (486:486:486) (413:413:413)) + (PORT datad (823:823:823) (682:682:682)) + (IOPATH dataa combout (373:373:373) (380:380:380)) + (IOPATH datab combout (384:384:384) (386:386:386)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal1\~0) + (DELAY + (ABSOLUTE + (PORT dataa (370:370:370) (432:432:432)) + (PORT datab (354:354:354) (415:415:415)) + (PORT datac (303:303:303) (370:370:370)) + (PORT datad (306:306:306) (366:366:366)) + (IOPATH dataa combout (404:404:404) (450:450:450)) + (IOPATH datab combout (406:406:406) (453:453:453)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal1\~1) + (DELAY + (ABSOLUTE + (PORT datac (829:829:829) (737:737:737)) + (PORT datad (720:720:720) (579:579:579)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\]\~6) + (DELAY + (ABSOLUTE + (PORT dataa (1082:1082:1082) (854:854:854)) + (PORT datab (1043:1043:1043) (813:813:813)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab cout (497:497:497) (381:381:381)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\]\~7) + (DELAY + (ABSOLUTE + (PORT dataa (272:272:272) (285:285:285)) + (PORT datab (268:268:268) (275:275:275)) + (IOPATH dataa combout (428:428:428) (449:449:449)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1648:1648:1648) (1668:1668:1668)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1690:1690:1690) (1645:1645:1645)) + (PORT sclr (1634:1634:1634) (1813:1813:1813)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sclr (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~0) + (DELAY + (ABSOLUTE + (PORT dataa (839:839:839) (749:749:749)) + (PORT datab (357:357:357) (419:419:419)) + (IOPATH dataa combout (408:408:408) (425:425:425)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (415:415:415) (425:425:425)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~15) + (DELAY + (ABSOLUTE + (PORT dataa (842:842:842) (663:663:663)) + (PORT datab (268:268:268) (275:275:275)) + (PORT datac (802:802:802) (726:726:726)) + (PORT datad (821:821:821) (681:681:681)) + (IOPATH dataa combout (377:377:377) (371:371:371)) + (IOPATH datab combout (377:377:377) (380:380:380)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[1\]\~9) + (DELAY + (ABSOLUTE + (PORT dataa (474:474:474) (413:413:413)) + (PORT datab (537:537:537) (446:446:446)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[2\]\~11) + (DELAY + (ABSOLUTE + (PORT dataa (746:746:746) (609:609:609)) + (PORT datab (267:267:267) (274:274:274)) + (IOPATH dataa combout (428:428:428) (449:449:449)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (438:438:438) (455:455:455)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[3\]\~13) + (DELAY + (ABSOLUTE + (PORT dataa (485:485:485) (419:419:419)) + (PORT datab (267:267:267) (274:274:274)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1648:1648:1648) (1668:1668:1668)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1690:1690:1690) (1645:1645:1645)) + (PORT sclr (1634:1634:1634) (1813:1813:1813)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sclr (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[3\]\~0) + (DELAY + (ABSOLUTE + (PORT datad (226:226:226) (233:233:233)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1649:1649:1649) (1668:1668:1668)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1690:1690:1690) (1645:1645:1645)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_2) + (DELAY + (ABSOLUTE + (PORT dataa (789:789:789) (632:632:632)) + (PORT datab (827:827:827) (659:659:659)) + (PORT datac (826:826:826) (735:735:735)) + (PORT datad (535:535:535) (514:514:514)) + (IOPATH dataa combout (435:435:435) (407:407:407)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[0\]\~0) + (DELAY + (ABSOLUTE + (PORT dataa (310:310:310) (330:330:330)) + (PORT datab (586:586:586) (570:570:570)) + (PORT datad (263:263:263) (277:277:277)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + (IOPATH datab combout (384:384:384) (398:398:398)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~14) + (DELAY + (ABSOLUTE + (PORT dataa (269:269:269) (281:281:281)) + (PORT datab (804:804:804) (683:683:683)) + (PORT datac (803:803:803) (709:709:709)) + (PORT datad (434:434:434) (371:371:371)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + (IOPATH datab combout (423:423:423) (451:451:451)) + (IOPATH datac combout (301:301:301) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1648:1648:1648) (1668:1668:1668)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1690:1690:1690) (1645:1645:1645)) + (PORT sclr (1634:1634:1634) (1813:1813:1813)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sclr (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~2) + (DELAY + (ABSOLUTE + (PORT dataa (840:840:840) (755:755:755)) + (PORT datab (934:934:934) (816:816:816)) + (IOPATH dataa combout (414:414:414) (450:450:450)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (423:423:423) (425:425:425)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~2) + (DELAY + (ABSOLUTE + (PORT dataa (838:838:838) (753:753:753)) + (PORT datab (938:938:938) (821:821:821)) + (IOPATH dataa combout (420:420:420) (425:425:425)) + (IOPATH dataa cout (486:486:486) (375:375:375)) + (IOPATH datab combout (423:423:423) (453:453:453)) + (IOPATH datab cout (497:497:497) (381:381:381)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + (IOPATH cin cout (63:63:63) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~7) + (DELAY + (ABSOLUTE + (PORT dataa (866:866:866) (718:718:718)) + (PORT datab (267:267:267) (273:273:273)) + (PORT datac (227:227:227) (242:242:242)) + (PORT datad (786:786:786) (669:669:669)) + (IOPATH dataa combout (428:428:428) (449:449:449)) + (IOPATH datab combout (437:437:437) (407:407:407)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~8) + (DELAY + (ABSOLUTE + (PORT dataa (846:846:846) (668:668:668)) + (PORT datab (268:268:268) (275:275:275)) + (PORT datac (682:682:682) (564:564:564)) + (PORT datad (822:822:822) (681:681:681)) + (IOPATH dataa combout (375:375:375) (371:371:371)) + (IOPATH datab combout (380:380:380) (380:380:380)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1648:1648:1648) (1668:1668:1668)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1690:1690:1690) (1645:1645:1645)) + (PORT sclr (1634:1634:1634) (1813:1813:1813)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sclr (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1649:1649:1649) (1668:1668:1668)) + (PORT asdata (1586:1586:1586) (1440:1440:1440)) + (PORT clrn (1690:1690:1690) (1645:1645:1645)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~8) + (DELAY + (ABSOLUTE + (PORT datad (834:834:834) (739:739:739)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~6) + (DELAY + (ABSOLUTE + (IOPATH cin combout (549:549:549) (519:519:519)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~0) + (DELAY + (ABSOLUTE + (PORT dataa (887:887:887) (740:740:740)) + (PORT datab (480:480:480) (418:418:418)) + (PORT datac (734:734:734) (596:596:596)) + (PORT datad (765:765:765) (648:648:648)) + (IOPATH dataa combout (408:408:408) (425:425:425)) + (IOPATH datab combout (415:415:415) (425:425:425)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~6) + (DELAY + (ABSOLUTE + (IOPATH cin combout (549:549:549) (519:519:519)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~1) + (DELAY + (ABSOLUTE + (PORT dataa (801:801:801) (646:646:646)) + (PORT datab (266:266:266) (272:272:272)) + (PORT datac (696:696:696) (569:569:569)) + (PORT datad (765:765:765) (647:647:647)) + (IOPATH dataa combout (351:351:351) (371:371:371)) + (IOPATH datab combout (437:437:437) (425:425:425)) + (IOPATH datac combout (301:301:301) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[4\]\~15) + (DELAY + (ABSOLUTE + (PORT dataa (482:482:482) (425:425:425)) + (PORT datad (442:442:442) (383:383:383)) + (IOPATH dataa combout (435:435:435) (444:444:444)) + (IOPATH datad combout (167:167:167) (143:143:143)) + (IOPATH cin combout (549:549:549) (519:519:519)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1648:1648:1648) (1668:1668:1668)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1690:1690:1690) (1645:1645:1645)) + (PORT sclr (1634:1634:1634) (1813:1813:1813)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sclr (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~2) + (DELAY + (ABSOLUTE + (PORT dataa (787:787:787) (640:640:640)) + (PORT datab (768:768:768) (628:628:628)) + (PORT datac (836:836:836) (735:735:735)) + (PORT datad (535:535:535) (514:514:514)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + (IOPATH datab combout (423:423:423) (391:391:391)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1649:1649:1649) (1668:1668:1668)) + (PORT asdata (1409:1409:1409) (1352:1352:1352)) + (PORT clrn (1690:1690:1690) (1645:1645:1645)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~1) + (DELAY + (ABSOLUTE + (PORT dataa (803:803:803) (672:672:672)) + (PORT datab (867:867:867) (694:694:694)) + (PORT datad (319:319:319) (385:385:385)) + (IOPATH dataa combout (435:435:435) (419:419:419)) + (IOPATH datab combout (437:437:437) (431:431:431)) + (IOPATH datac combout (415:415:415) (429:429:429)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[1\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (833:833:833) (702:702:702)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1647:1647:1647) (1667:1667:1667)) + (PORT d (90:90:90) (101:101:101)) + (PORT asdata (1826:1826:1826) (1645:1645:1645)) + (PORT clrn (1689:1689:1689) (1643:1643:1643)) + (PORT sload (1571:1571:1571) (1688:1688:1688)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sload (posedge clk) (195:195:195)) + (HOLD asdata (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[7\]\~1) + (DELAY + (ABSOLUTE + (PORT datac (981:981:981) (926:926:926)) + (PORT datad (920:920:920) (865:865:865)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (1649:1649:1649) (1668:1668:1668)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1690:1690:1690) (1645:1645:1645)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~4) + (DELAY + (ABSOLUTE + (PORT dataa (363:363:363) (431:431:431)) + (PORT datab (317:317:317) (371:371:371)) + (PORT datac (758:758:758) (632:632:632)) + (PORT datad (806:806:806) (652:652:652)) + (IOPATH dataa combout (394:394:394) (419:419:419)) + (IOPATH datab combout (437:437:437) (431:431:431)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[5\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (759:759:759) (600:600:600)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (1647:1647:1647) (1667:1667:1667)) + (PORT d (90:90:90) (101:101:101)) + (PORT asdata (1825:1825:1825) (1644:1644:1644)) + (PORT clrn (1689:1689:1689) (1643:1643:1643)) + (PORT sload (1571:1571:1571) (1688:1688:1688)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sload (posedge clk) (195:195:195)) + (HOLD asdata (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~7) + (DELAY + (ABSOLUTE + (PORT dataa (634:634:634) (598:598:598)) + (PORT datab (352:352:352) (410:410:410)) + (PORT datac (1215:1215:1215) (1096:1096:1096)) + (PORT datad (837:837:837) (723:723:723)) + (IOPATH dataa combout (414:414:414) (450:450:450)) + (IOPATH datab combout (423:423:423) (453:453:453)) + (IOPATH datac combout (305:305:305) (285:285:285)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[9\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (PORT clrn (1683:1683:1683) (1638:1638:1638)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~4) + (DELAY + (ABSOLUTE + (PORT datab (321:321:321) (376:376:376)) + (PORT datac (990:990:990) (940:940:940)) + (IOPATH datab combout (423:423:423) (391:391:391)) + (IOPATH datac combout (305:305:305) (285:285:285)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1641:1641:1641) (1661:1661:1661)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~3) + (DELAY + (ABSOLUTE + (PORT datab (330:330:330) (388:388:388)) + (PORT datac (914:914:914) (825:825:825)) + (PORT datad (997:997:997) (952:952:952)) + (IOPATH datab combout (380:380:380) (380:380:380)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1647:1647:1647) (1667:1667:1667)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~2) + (DELAY + (ABSOLUTE + (PORT datab (331:331:331) (389:389:389)) + (PORT datac (277:277:277) (340:340:340)) + (PORT datad (997:997:997) (952:952:952)) + (IOPATH datab combout (380:380:380) (380:380:380)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1647:1647:1647) (1667:1667:1667)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~1) + (DELAY + (ABSOLUTE + (PORT dataa (324:324:324) (384:384:384)) + (PORT datab (1038:1038:1038) (991:991:991)) + (PORT datac (279:279:279) (343:343:343)) + (IOPATH dataa combout (408:408:408) (425:425:425)) + (IOPATH datab combout (415:415:415) (431:431:431)) + (IOPATH datac combout (305:305:305) (285:285:285)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1647:1647:1647) (1667:1667:1667)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~0) + (DELAY + (ABSOLUTE + (PORT datab (322:322:322) (377:377:377)) + (PORT datac (895:895:895) (857:857:857)) + (PORT datad (278:278:278) (333:333:333)) + (IOPATH datab combout (423:423:423) (391:391:391)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1647:1647:1647) (1667:1667:1667)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1649:1649:1649) (1668:1668:1668)) + (PORT d (90:90:90) (101:101:101)) + (PORT asdata (1913:1913:1913) (1709:1709:1709)) + (PORT clrn (1690:1690:1690) (1645:1645:1645)) + (PORT sload (1588:1588:1588) (1701:1701:1701)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sload (posedge clk) (195:195:195)) + (HOLD asdata (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\]\~6) + (DELAY + (ABSOLUTE + (PORT dataa (311:311:311) (331:331:331)) + (PORT datab (590:590:590) (574:574:574)) + (PORT datad (263:263:263) (277:277:277)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + (IOPATH datab combout (384:384:384) (398:398:398)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg2\~_wirecell) + (DELAY + (ABSOLUTE + (IOPATH datac combout (415:415:415) (429:429:429)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[6\]) + (DELAY + (ABSOLUTE + (PORT clk (1649:1649:1649) (1668:1668:1668)) + (PORT d (90:90:90) (101:101:101)) + (PORT asdata (1599:1599:1599) (1401:1401:1401)) + (PORT clrn (1690:1690:1690) (1645:1645:1645)) + (PORT sload (1588:1588:1588) (1701:1701:1701)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + (IOPATH (negedge clrn) q (222:222:222) (222:222:222)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + (HOLD sload (posedge clk) (195:195:195)) + (HOLD asdata (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~3) + (DELAY + (ABSOLUTE + (PORT dataa (323:323:323) (382:382:382)) + (PORT datab (322:322:322) (377:377:377)) + (PORT datad (959:959:959) (910:910:910)) + (IOPATH dataa combout (435:435:435) (425:425:425)) + (IOPATH datab combout (380:380:380) (380:380:380)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1649:1649:1649) (1668:1668:1668)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~2) + (DELAY + (ABSOLUTE + (PORT dataa (324:324:324) (384:384:384)) + (PORT datab (998:998:998) (946:946:946)) + (PORT datad (280:280:280) (335:335:335)) + (IOPATH dataa combout (408:408:408) (425:425:425)) + (IOPATH datab combout (415:415:415) (425:425:425)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1649:1649:1649) (1668:1668:1668)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~1) + (DELAY + (ABSOLUTE + (PORT dataa (326:326:326) (387:387:387)) + (PORT datab (1001:1001:1001) (949:949:949)) + (PORT datad (281:281:281) (336:336:336)) + (IOPATH dataa combout (408:408:408) (425:425:425)) + (IOPATH datab combout (415:415:415) (425:425:425)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1649:1649:1649) (1668:1668:1668)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~0) + (DELAY + (ABSOLUTE + (PORT datab (321:321:321) (376:376:376)) + (PORT datac (940:940:940) (885:885:885)) + (PORT datad (278:278:278) (333:333:333)) + (IOPATH datab combout (423:423:423) (391:391:391)) + (IOPATH datac combout (305:305:305) (283:283:283)) + (IOPATH datad combout (167:167:167) (143:143:143)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1649:1649:1649) (1668:1668:1668)) + (PORT d (90:90:90) (101:101:101)) + (IOPATH (posedge clk) q (240:240:240) (240:240:240)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (195:195:195)) + ) + ) + (CELL + (CELLTYPE "cycloneive_latch") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi) + (DELAY + (ABSOLUTE + (PORT ENA (1611:1611:1611) (1583:1583:1583)) + (PORT D (821:821:821) (856:856:856)) + (IOPATH (negedge ENA) Q (213:213:213) (213:213:213)) + ) + ) + (TIMINGCHECK + (SETUP D (negedge ENA) (513:513:513)) + (HOLD D (negedge ENA) (112:112:112)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo) + (DELAY + (ABSOLUTE + (PORT clk (1611:1611:1611) (1584:1584:1584)) + (PORT d (1265:1265:1265) (1258:1258:1258)) + (IOPATH (posedge clk) q (213:213:213) (213:213:213)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (104:104:104)) + (HOLD d (posedge clk) (112:112:112)) + ) + ) + (CELL + (CELLTYPE "cycloneive_mux21") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux) + (DELAY + (ABSOLUTE + (PORT A (0:0:0) (0:0:0)) + (PORT B (0:0:0) (0:0:0)) + (PORT S (1769:1769:1769) (1755:1755:1755)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_routing_wire") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay) + (DELAY + (ABSOLUTE + (IOPATH datain dataout (491:491:491) (503:503:503)) + ) + ) + (DELAY + (PATHPULSE datain dataout (491:491:491)) + ) + ) + (CELL + (CELLTYPE "cycloneive_latch") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi) + (DELAY + (ABSOLUTE + (PORT ENA (1606:1606:1606) (1577:1577:1577)) + (PORT D (1102:1102:1102) (1247:1247:1247)) + (IOPATH (negedge ENA) Q (213:213:213) (213:213:213)) + ) + ) + (TIMINGCHECK + (SETUP D (negedge ENA) (513:513:513)) + (HOLD D (negedge ENA) (112:112:112)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo) + (DELAY + (ABSOLUTE + (PORT clk (1606:1606:1606) (1578:1578:1578)) + (PORT d (872:872:872) (967:967:967)) + (IOPATH (posedge clk) q (213:213:213) (213:213:213)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (104:104:104)) + (HOLD d (posedge clk) (112:112:112)) + ) + ) + (CELL + (CELLTYPE "cycloneive_mux21") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux) + (DELAY + (ABSOLUTE + (PORT A (0:0:0) (0:0:0)) + (PORT B (0:0:0) (0:0:0)) + (PORT S (1764:1764:1764) (1749:1749:1749)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_routing_wire") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay) + (DELAY + (ABSOLUTE + (IOPATH datain dataout (491:491:491) (503:503:503)) + ) + ) + (DELAY + (PATHPULSE datain dataout (491:491:491)) + ) + ) + (CELL + (CELLTYPE "cycloneive_latch") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi) + (DELAY + (ABSOLUTE + (PORT ENA (1609:1609:1609) (1580:1580:1580)) + (PORT D (795:795:795) (877:877:877)) + (IOPATH (negedge ENA) Q (213:213:213) (213:213:213)) + ) + ) + (TIMINGCHECK + (SETUP D (negedge ENA) (513:513:513)) + (HOLD D (negedge ENA) (112:112:112)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo) + (DELAY + (ABSOLUTE + (PORT clk (1609:1609:1609) (1581:1581:1581)) + (PORT d (1167:1167:1167) (1308:1308:1308)) + (IOPATH (posedge clk) q (213:213:213) (213:213:213)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (104:104:104)) + (HOLD d (posedge clk) (112:112:112)) + ) + ) + (CELL + (CELLTYPE "cycloneive_mux21") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux) + (DELAY + (ABSOLUTE + (PORT A (0:0:0) (0:0:0)) + (PORT B (0:0:0) (0:0:0)) + (PORT S (1767:1767:1767) (1752:1752:1752)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_routing_wire") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay) + (DELAY + (ABSOLUTE + (IOPATH datain dataout (491:491:491) (503:503:503)) + ) + ) + (DELAY + (PATHPULSE datain dataout (491:491:491)) + ) + ) + (CELL + (CELLTYPE "cycloneive_latch") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi) + (DELAY + (ABSOLUTE + (PORT ENA (1611:1611:1611) (1583:1583:1583)) + (PORT D (798:798:798) (879:879:879)) + (IOPATH (negedge ENA) Q (213:213:213) (213:213:213)) + ) + ) + (TIMINGCHECK + (SETUP D (negedge ENA) (513:513:513)) + (HOLD D (negedge ENA) (112:112:112)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo) + (DELAY + (ABSOLUTE + (PORT clk (1611:1611:1611) (1584:1584:1584)) + (PORT d (1190:1190:1190) (1333:1333:1333)) + (IOPATH (posedge clk) q (213:213:213) (213:213:213)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (104:104:104)) + (HOLD d (posedge clk) (112:112:112)) + ) + ) + (CELL + (CELLTYPE "cycloneive_mux21") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux) + (DELAY + (ABSOLUTE + (PORT A (0:0:0) (0:0:0)) + (PORT B (0:0:0) (0:0:0)) + (PORT S (1769:1769:1769) (1755:1755:1755)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_routing_wire") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay) + (DELAY + (ABSOLUTE + (IOPATH datain dataout (491:491:491) (503:503:503)) + ) + ) + (DELAY + (PATHPULSE datain dataout (491:491:491)) + ) + ) +) diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_85c_slow.vo b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_85c_slow.vo new file mode 100644 index 0000000..0315695 --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_85c_slow.vo @@ -0,0 +1,11443 @@ +// Copyright (C) 1991-2013 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + +// VENDOR "Altera" +// PROGRAM "Quartus II 64-Bit" +// VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version" + +// DATE "06/02/2023 04:17:19" + +// +// Device: Altera EP4CE15F23C8 Package FBGA484 +// + +// +// This Verilog file should be used for ModelSim (Verilog) only +// + +`timescale 1 ps/ 1 ps + +module hdmi_colorbar ( + sys_clk, + sys_rst_n, + ddc_scl, + ddc_sda, + tmds_clk_p, + tmds_clk_n, + tmds_data_p, + tmds_data_n); +input sys_clk; +input sys_rst_n; +output ddc_scl; +output ddc_sda; +output tmds_clk_p; +output tmds_clk_n; +output [2:0] tmds_data_p; +output [2:0] tmds_data_n; + +// Design Ports Information +// ddc_scl => Location: PIN_N22, I/O Standard: 2.5 V, Current Strength: Default +// ddc_sda => Location: PIN_R22, I/O Standard: 2.5 V, Current Strength: Default +// tmds_clk_p => Location: PIN_H21, I/O Standard: 2.5 V, Current Strength: Default +// tmds_clk_n => Location: PIN_H22, I/O Standard: 2.5 V, Current Strength: Default +// tmds_data_p[0] => Location: PIN_F21, I/O Standard: 2.5 V, Current Strength: Default +// tmds_data_p[1] => Location: PIN_E21, I/O Standard: 2.5 V, Current Strength: Default +// tmds_data_p[2] => Location: PIN_D21, I/O Standard: 2.5 V, Current Strength: Default +// tmds_data_n[0] => Location: PIN_F22, I/O Standard: 2.5 V, Current Strength: Default +// tmds_data_n[1] => Location: PIN_E22, I/O Standard: 2.5 V, Current Strength: Default +// tmds_data_n[2] => Location: PIN_D22, I/O Standard: 2.5 V, Current Strength: Default +// sys_rst_n => Location: PIN_U20, I/O Standard: 2.5 V, Current Strength: Default +// sys_clk => Location: PIN_T22, I/O Standard: 2.5 V, Current Strength: Default + + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +tri1 devclrn; +tri1 devpor; +tri1 devoe; +// synopsys translate_off +initial $sdf_annotate("hdmi_colorbar_8_1200mv_85c_v_slow.sdo"); +// synopsys translate_on + +wire \hdmi_ctrl_inst|encode_inst0|Add20~1 ; +wire \hdmi_ctrl_inst|encode_inst0|Add20~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add20~3 ; +wire \hdmi_ctrl_inst|encode_inst0|Add20~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add20~5 ; +wire \hdmi_ctrl_inst|encode_inst0|Add20~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add20~6_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~1 ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~3 ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~5 ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~7 ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~6_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~8_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add23~1 ; +wire \hdmi_ctrl_inst|encode_inst0|Add23~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add23~3 ; +wire \hdmi_ctrl_inst|encode_inst0|Add23~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add23~5 ; +wire \hdmi_ctrl_inst|encode_inst0|Add23~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add23~6_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~1 ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~3 ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~5 ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~7 ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~6_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~8_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add19~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add22~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add20~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add20~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add20~5 ; +wire \hdmi_ctrl_inst|encode_inst1|Add20~6_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~5 ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~7 ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~6_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~8_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add23~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add23~5 ; +wire \hdmi_ctrl_inst|encode_inst1|Add23~6_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~7 ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~8_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add19~1 ; +wire \hdmi_ctrl_inst|encode_inst1|Add19~3 ; +wire \hdmi_ctrl_inst|encode_inst1|Add19~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add19~5 ; +wire \hdmi_ctrl_inst|encode_inst1|Add19~4_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add19~6_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add22~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add22~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add22~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add20~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add20~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~6_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add23~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add23~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~5 ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~7 ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~6_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~8_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add19~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add19~5 ; +wire \hdmi_ctrl_inst|encode_inst2|Add19~6_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add22~1 ; +wire \hdmi_ctrl_inst|encode_inst2|Add22~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add22~3 ; +wire \hdmi_ctrl_inst|encode_inst2|Add22~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add22~5 ; +wire \hdmi_ctrl_inst|encode_inst2|Add22~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add22~6_combout ; +wire \vga_ctrl_inst|Add0~2_combout ; +wire \vga_ctrl_inst|Add0~10_combout ; +wire \vga_ctrl_inst|Add1~2_combout ; +wire \vga_ctrl_inst|Add1~6_combout ; +wire \vga_ctrl_inst|Add1~8_combout ; +wire \vga_ctrl_inst|Add1~10_combout ; +wire \vga_ctrl_inst|Add1~12_combout ; +wire \vga_ctrl_inst|Add1~14_combout ; +wire \vga_ctrl_inst|Add1~16_combout ; +wire \vga_ctrl_inst|Add1~18_combout ; +wire \vga_ctrl_inst|Add1~20_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~3_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~5_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~7_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~8_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~11_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~12_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~16_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add12~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out~2_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~1_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~5_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~6_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~7_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~8_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~13_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~14_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~16_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~3_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~9_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~10_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~11_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~12_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~13_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~16_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add14~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out~3_combout ; +wire \vga_ctrl_inst|pix_data_req~0_combout ; +wire \vga_ctrl_inst|pix_data_req~3_combout ; +wire \hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out~5_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out~5_combout ; +wire \vga_ctrl_inst|pix_x[10]~1_combout ; +wire \vga_pic_inst|always0~1_combout ; +wire \vga_pic_inst|always0~2_combout ; +wire \vga_pic_inst|pix_data[9]~14_combout ; +wire \vga_pic_inst|pix_data~16_combout ; +wire \vga_ctrl_inst|pix_data_req~8_combout ; +wire \vga_ctrl_inst|cnt_v[10]~12_combout ; +wire \hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ; +wire \vga_pic_inst|LessThan10~0_combout ; +wire \vga_pic_inst|pix_data~22_combout ; +wire \vga_pic_inst|pix_data~23_combout ; +wire \vga_pic_inst|LessThan14~1_combout ; +wire \vga_pic_inst|pix_data[13]~24_combout ; +wire \vga_pic_inst|pix_data~25_combout ; +wire \hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out~5_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out~8_combout ; +wire \hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out~6_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out~8_combout ; +wire \vga_pic_inst|pix_data~37_combout ; +wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ; +wire \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ; +wire \sys_clk~input_o ; +wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ; +wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ; +wire \vga_ctrl_inst|Add0~0_combout ; +wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ; +wire \sys_rst_n~input_o ; +wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ; +wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ; +wire \rst_n~0_combout ; +wire \rst_n~0clkctrl_outclk ; +wire \vga_ctrl_inst|Add0~1 ; +wire \vga_ctrl_inst|Add0~3 ; +wire \vga_ctrl_inst|Add0~5 ; +wire \vga_ctrl_inst|Add0~6_combout ; +wire \vga_ctrl_inst|Add0~7 ; +wire \vga_ctrl_inst|Add0~8_combout ; +wire \vga_ctrl_inst|Add0~9 ; +wire \vga_ctrl_inst|Add0~11 ; +wire \vga_ctrl_inst|Add0~12_combout ; +wire \vga_ctrl_inst|Add0~13 ; +wire \vga_ctrl_inst|Add0~14_combout ; +wire \vga_ctrl_inst|Add0~4_combout ; +wire \vga_ctrl_inst|Equal0~0_combout ; +wire \vga_ctrl_inst|Add0~15 ; +wire \vga_ctrl_inst|Add0~16_combout ; +wire \vga_ctrl_inst|Add0~19 ; +wire \vga_ctrl_inst|Add0~20_combout ; +wire \vga_ctrl_inst|Add0~21 ; +wire \vga_ctrl_inst|Add0~22_combout ; +wire \vga_ctrl_inst|Equal0~1_combout ; +wire \vga_ctrl_inst|cnt_h~0_combout ; +wire \vga_ctrl_inst|Equal0~2_combout ; +wire \vga_ctrl_inst|cnt_h~2_combout ; +wire \vga_ctrl_inst|Add0~17 ; +wire \vga_ctrl_inst|Add0~18_combout ; +wire \vga_ctrl_inst|cnt_h~1_combout ; +wire \vga_ctrl_inst|LessThan4~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add4~0_combout ; +wire \vga_ctrl_inst|Add2~1_cout ; +wire \vga_ctrl_inst|Add2~3_cout ; +wire \vga_ctrl_inst|Add2~5_cout ; +wire \vga_ctrl_inst|Add2~7_cout ; +wire \vga_ctrl_inst|Add2~9_cout ; +wire \vga_ctrl_inst|Add2~11 ; +wire \vga_ctrl_inst|Add2~13 ; +wire \vga_ctrl_inst|Add2~15 ; +wire \vga_ctrl_inst|Add2~17 ; +wire \vga_ctrl_inst|Add2~18_combout ; +wire \vga_ctrl_inst|Add2~16_combout ; +wire \vga_ctrl_inst|Add2~14_combout ; +wire \vga_pic_inst|pix_data~12_combout ; +wire \vga_ctrl_inst|Add2~12_combout ; +wire \vga_ctrl_inst|pix_data_req~5_combout ; +wire \vga_ctrl_inst|Equal0~3_combout ; +wire \vga_ctrl_inst|cnt_v[7]~7_combout ; +wire \vga_ctrl_inst|cnt_v[5]~10_combout ; +wire \vga_ctrl_inst|cnt_v[8]~6_combout ; +wire \vga_ctrl_inst|always1~0_combout ; +wire \vga_ctrl_inst|cnt_v[1]~1_combout ; +wire \vga_ctrl_inst|cnt_v[4]~5_combout ; +wire \vga_ctrl_inst|always1~1_combout ; +wire \vga_ctrl_inst|Add1~0_combout ; +wire \vga_ctrl_inst|cnt_v[0]~2_combout ; +wire \vga_ctrl_inst|cnt_v[3]~3_combout ; +wire \vga_ctrl_inst|always1~2_combout ; +wire \vga_ctrl_inst|cnt_v[11]~0_combout ; +wire \vga_ctrl_inst|cnt_v[9]~9_combout ; +wire \vga_ctrl_inst|cnt_v[6]~8_combout ; +wire \vga_ctrl_inst|Add1~1 ; +wire \vga_ctrl_inst|Add1~3 ; +wire \vga_ctrl_inst|Add1~4_combout ; +wire \vga_ctrl_inst|cnt_v[2]~4_combout ; +wire \vga_ctrl_inst|Add1~5 ; +wire \vga_ctrl_inst|Add1~7 ; +wire \vga_ctrl_inst|Add1~9 ; +wire \vga_ctrl_inst|Add1~11 ; +wire \vga_ctrl_inst|Add1~13 ; +wire \vga_ctrl_inst|Add1~15 ; +wire \vga_ctrl_inst|Add1~17 ; +wire \vga_ctrl_inst|Add1~19 ; +wire \vga_ctrl_inst|Add1~21 ; +wire \vga_ctrl_inst|Add1~22_combout ; +wire \vga_ctrl_inst|cnt_v[11]~11_combout ; +wire \vga_ctrl_inst|pix_data_req~2_combout ; +wire \vga_ctrl_inst|pix_data_req~4_combout ; +wire \vga_ctrl_inst|pix_data_req~6_combout ; +wire \vga_ctrl_inst|pix_data_req~7_combout ; +wire \vga_pic_inst|pix_data[13]~11_combout ; +wire \vga_pic_inst|always0~0_combout ; +wire \vga_pic_inst|pix_data~13_combout ; +wire \vga_pic_inst|pix_data~17_combout ; +wire \vga_pic_inst|pix_data~34_combout ; +wire \vga_pic_inst|pix_data[13]~8_combout ; +wire \vga_pic_inst|pix_data[13]~9_combout ; +wire \vga_pic_inst|pix_data[13]~10_combout ; +wire \vga_pic_inst|pix_data~18_combout ; +wire \vga_ctrl_inst|Add2~19 ; +wire \vga_ctrl_inst|Add2~20_combout ; +wire \vga_ctrl_inst|Add2~10_combout ; +wire \vga_pic_inst|LessThan17~4_combout ; +wire \vga_pic_inst|LessThan17~3_combout ; +wire \vga_pic_inst|LessThan14~0_combout ; +wire \vga_pic_inst|pix_data~19_combout ; +wire \vga_pic_inst|pix_data~20_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add6~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ; +wire \vga_ctrl_inst|LessThan6~0_combout ; +wire \vga_ctrl_inst|pix_data_req~1_combout ; +wire \vga_ctrl_inst|rgb[1]~0_combout ; +wire \vga_ctrl_inst|rgb[2]~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add14~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add19~1 ; +wire \hdmi_ctrl_inst|encode_inst0|Add19~3 ; +wire \hdmi_ctrl_inst|encode_inst0|Add19~5 ; +wire \hdmi_ctrl_inst|encode_inst0|Add19~6_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add22~1 ; +wire \hdmi_ctrl_inst|encode_inst0|Add22~3 ; +wire \hdmi_ctrl_inst|encode_inst0|Add22~5 ; +wire \hdmi_ctrl_inst|encode_inst0|Add22~6_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add19~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add22~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~9_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~10_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add19~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add12~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add22~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add14~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~13_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~14_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~15_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add4~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|de_reg1~q ; +wire \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst2|de_reg2~q ; +wire \hdmi_ctrl_inst|encode_inst0|condition_2~combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~6_combout ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[3]~14 ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ; +wire \hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ; +wire \vga_ctrl_inst|LessThan0~0_combout ; +wire \vga_ctrl_inst|LessThan0~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|c0_reg1~q ; +wire \hdmi_ctrl_inst|encode_inst2|c0_reg2~q ; +wire \hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ; +wire \vga_ctrl_inst|LessThan1~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|c1_reg1~q ; +wire \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst2|c1_reg2~q ; +wire \hdmi_ctrl_inst|encode_inst0|data_out~7_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out~3_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ; +wire \vga_pic_inst|LessThan17~2_combout ; +wire \vga_pic_inst|pix_data[9]~15_combout ; +wire \vga_pic_inst|pix_data~35_combout ; +wire \vga_pic_inst|pix_data~36_combout ; +wire \vga_pic_inst|pix_data~21_combout ; +wire \vga_pic_inst|pix_data~26_combout ; +wire \vga_ctrl_inst|pix_x[11]~0_combout ; +wire \vga_pic_inst|pix_data~27_combout ; +wire \vga_ctrl_inst|rgb[10]~2_combout ; +wire \vga_pic_inst|pix_data~29_combout ; +wire \vga_pic_inst|pix_data~30_combout ; +wire \vga_pic_inst|pix_data~31_combout ; +wire \vga_ctrl_inst|rgb[6]~4_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add5~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add14~1_combout ; +wire \vga_pic_inst|pix_data~28_combout ; +wire \vga_ctrl_inst|rgb[7]~3_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add13~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add13~1_combout ; +wire \hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add19~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add14~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~1 ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~3 ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~4_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add14~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add23~1 ; +wire \hdmi_ctrl_inst|encode_inst1|Add23~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~1 ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~3 ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~4_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~9_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~10_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~15_combout ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~5 ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~6_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add20~1 ; +wire \hdmi_ctrl_inst|encode_inst1|Add20~3 ; +wire \hdmi_ctrl_inst|encode_inst1|Add20~4_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add23~3 ; +wire \hdmi_ctrl_inst|encode_inst1|Add23~4_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~3_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~4_combout ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|condition_2~combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~11_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~12_combout ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add22~1 ; +wire \hdmi_ctrl_inst|encode_inst1|Add22~3 ; +wire \hdmi_ctrl_inst|encode_inst1|Add22~5 ; +wire \hdmi_ctrl_inst|encode_inst1|Add22~6_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[3]~14 ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ; +wire \hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out~1_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out~3_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out~7_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ; +wire \vga_pic_inst|pix_data~33_combout ; +wire \vga_ctrl_inst|rgb[13]~6_combout ; +wire \vga_pic_inst|pix_data~32_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add6~0_combout ; +wire \vga_ctrl_inst|rgb[12]~5_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add12~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add14~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add12~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add19~1 ; +wire \hdmi_ctrl_inst|encode_inst2|Add19~3 ; +wire \hdmi_ctrl_inst|encode_inst2|Add19~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~5_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~6_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~15_combout ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ; +wire \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|condition_2~combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add19~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~14_combout ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~1 ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~3 ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add23~1 ; +wire \hdmi_ctrl_inst|encode_inst2|Add23~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add20~1 ; +wire \hdmi_ctrl_inst|encode_inst2|Add20~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~7_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~8_combout ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~1 ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~3 ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~5 ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~7 ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~8_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add23~3 ; +wire \hdmi_ctrl_inst|encode_inst2|Add23~5 ; +wire \hdmi_ctrl_inst|encode_inst2|Add23~6_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add20~3 ; +wire \hdmi_ctrl_inst|encode_inst2|Add20~5 ; +wire \hdmi_ctrl_inst|encode_inst2|Add20~6_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[3]~14 ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ; +wire \hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out~7_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ; +wire \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ; +wire [9:0] \hdmi_ctrl_inst|encode_inst0|data_out ; +wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ; +wire [11:0] \vga_ctrl_inst|cnt_v ; +wire [11:0] \vga_ctrl_inst|cnt_h ; +wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s ; +wire [7:0] \hdmi_ctrl_inst|encode_inst0|data_in_reg ; +wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s ; +wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s ; +wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s ; +wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ; +wire [8:0] \hdmi_ctrl_inst|encode_inst0|q_m_reg ; +wire [3:0] \hdmi_ctrl_inst|encode_inst0|q_m_n1 ; +wire [15:0] \vga_pic_inst|pix_data ; +wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ; +wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ; +wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s ; +wire [4:0] \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk ; +wire [3:0] \hdmi_ctrl_inst|encode_inst0|data_in_n1 ; +wire [2:0] \hdmi_ctrl_inst|par_to_ser_inst0|cnt ; +wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s ; +wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ; +wire [3:0] \hdmi_ctrl_inst|encode_inst0|q_m_n0 ; +wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ; +wire [4:0] \hdmi_ctrl_inst|encode_inst0|cnt ; +wire [4:0] \hdmi_ctrl_inst|encode_inst1|cnt ; +wire [9:0] \hdmi_ctrl_inst|encode_inst1|data_out ; +wire [8:0] \hdmi_ctrl_inst|encode_inst1|q_m_reg ; +wire [3:0] \hdmi_ctrl_inst|encode_inst1|q_m_n0 ; +wire [3:0] \hdmi_ctrl_inst|encode_inst1|q_m_n1 ; +wire [7:0] \hdmi_ctrl_inst|encode_inst1|data_in_reg ; +wire [3:0] \hdmi_ctrl_inst|encode_inst1|data_in_n1 ; +wire [4:0] \hdmi_ctrl_inst|encode_inst2|cnt ; +wire [9:0] \hdmi_ctrl_inst|encode_inst2|data_out ; +wire [8:0] \hdmi_ctrl_inst|encode_inst2|q_m_reg ; +wire [3:0] \hdmi_ctrl_inst|encode_inst2|q_m_n0 ; +wire [3:0] \hdmi_ctrl_inst|encode_inst2|q_m_n1 ; +wire [7:0] \hdmi_ctrl_inst|encode_inst2|data_in_reg ; +wire [3:0] \hdmi_ctrl_inst|encode_inst2|data_in_n1 ; +wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ; +wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s ; +wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s ; +wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ; + +wire [4:0] \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ; + +assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [0]; +assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [1] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [1]; +assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [2] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [2]; +assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [3] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [3]; +assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [4] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [4]; + +// Location: PLL_2 +cycloneive_pll \clk_gen_inst|altpll_component|auto_generated|pll1 ( + .areset(!\sys_rst_n~input_o ), + .pfdena(vcc), + .fbin(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ), + .phaseupdown(gnd), + .phasestep(gnd), + .scandata(gnd), + .scanclk(gnd), + .scanclkena(vcc), + .configupdate(gnd), + .clkswitch(gnd), + .inclk({gnd,\sys_clk~input_o }), + .phasecounterselect(3'b000), + .phasedone(), + .scandataout(), + .scandone(), + .activeclock(), + .locked(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ), + .vcooverrange(), + .vcounderrange(), + .fbout(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ), + .clk(\clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ), + .clkbad()); +// synopsys translate_off +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .auto_settings = "false"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .bandwidth_type = "medium"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_high = 10; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_initial = 1; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_low = 10; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_mode = "even"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_ph = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_high = 2; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_initial = 1; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_low = 2; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_mode = "even"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_ph = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_use_casc_in = "off"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_high = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_initial = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_low = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_mode = "bypass"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_ph = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_use_casc_in = "off"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_high = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_initial = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_low = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_mode = "bypass"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_ph = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_use_casc_in = "off"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_high = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_initial = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_low = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_mode = "bypass"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_ph = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_use_casc_in = "off"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .charge_pump_current_bits = 1; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_counter = "c0"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_divide_by = 2; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_duty_cycle = 50; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_multiply_by = 1; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_phase_shift = "0"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_counter = "c1"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_divide_by = 2; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_duty_cycle = 50; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_multiply_by = 5; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_phase_shift = "0"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_counter = "unused"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_divide_by = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_duty_cycle = 50; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_multiply_by = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_phase_shift = "0"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_counter = "unused"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_divide_by = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_duty_cycle = 50; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_multiply_by = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_phase_shift = "0"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_counter = "unused"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_divide_by = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_duty_cycle = 50; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_multiply_by = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_phase_shift = "0"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .compensate_clock = "clock0"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk0_input_frequency = 20000; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk1_input_frequency = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_c_bits = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_r_bits = 27; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m = 10; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_initial = 1; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_ph = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .n = 1; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .operation_mode = "normal"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_max = 200000; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_min = 3076; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pll_compensation_delay = 6891; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .self_reset_on_loss_lock = "off"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .simulation_type = "timing"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .switch_over_type = "auto"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_center = 1538; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_divide_by = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_frequency_control = "auto"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_max = 3333; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_min = 1538; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_multiply_by = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_phase_shift_step = 250; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_post_scale = 2; +// synopsys translate_on + +// Location: FF_X40_Y21_N11 +dffeas \hdmi_ctrl_inst|encode_inst1|data_out[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_out [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[0] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X38_Y22_N7 +dffeas \hdmi_ctrl_inst|encode_inst0|cnt[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|cnt [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[0] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X38_Y22_N9 +dffeas \hdmi_ctrl_inst|encode_inst0|cnt[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|cnt [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[1] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X38_Y22_N11 +dffeas \hdmi_ctrl_inst|encode_inst0|cnt[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|cnt [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[2] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y22_N11 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[3] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y23_N11 +dffeas \hdmi_ctrl_inst|encode_inst1|data_out[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_out [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[2] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y23_N13 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (GND))) +// \hdmi_ctrl_inst|encode_inst0|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1])) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add20~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add20~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add20~0 .lut_mask = 16'h66BB; +defparam \hdmi_ctrl_inst|encode_inst0|Add20~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst0|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & +// ((\hdmi_ctrl_inst|encode_inst0|Add20~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|Add20~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & +// (!\hdmi_ctrl_inst|encode_inst0|Add20~1 )))) +// \hdmi_ctrl_inst|encode_inst0|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((!\hdmi_ctrl_inst|encode_inst0|Add20~1 ) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & +// (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst0|Add20~1 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add20~1 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add20~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add20~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add20~2 .lut_mask = 16'h692B; +defparam \hdmi_ctrl_inst|encode_inst0|Add20~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst0|Add20~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst0|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst0|Add20~3 )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add20~3 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add20~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add20~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add20~4 .lut_mask = 16'h5AAF; +defparam \hdmi_ctrl_inst|encode_inst0|Add20~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst0|Add20~5 + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst0|Add20~5 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add20~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add20~6 .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst0|Add20~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] & VCC)) +// \hdmi_ctrl_inst|encode_inst0|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [0] & \hdmi_ctrl_inst|encode_inst0|q_m_n1 [0])) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [0]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add17~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add17~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst0|Add17~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & +// (!\hdmi_ctrl_inst|encode_inst0|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (!\hdmi_ctrl_inst|encode_inst0|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & ((\hdmi_ctrl_inst|encode_inst0|Add17~1 +// ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst0|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & !\hdmi_ctrl_inst|encode_inst0|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & +// ((!\hdmi_ctrl_inst|encode_inst0|Add17~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add17~1 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add17~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add17~2 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst0|Add17~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] $ (\hdmi_ctrl_inst|encode_inst0|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst0|Add17~3 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst0|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|cnt +// [2] & !\hdmi_ctrl_inst|encode_inst0|Add17~3 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add17~3 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add17~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add17~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add17~4 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst0|Add17~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((\hdmi_ctrl_inst|encode_inst0|Add17~5 ) # (GND))) +// \hdmi_ctrl_inst|encode_inst0|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst0|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3])) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [3]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add17~5 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add17~6_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add17~7 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add17~6 .lut_mask = 16'h3C3F; +defparam \hdmi_ctrl_inst|encode_inst0|Add17~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add17~8_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst0|Add17~7 ) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst0|Add17~7 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add17~8 .lut_mask = 16'hC3C3; +defparam \hdmi_ctrl_inst|encode_inst0|Add17~8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]) # (GND))) +// \hdmi_ctrl_inst|encode_inst0|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add23~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add23~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add23~0 .lut_mask = 16'h66DD; +defparam \hdmi_ctrl_inst|encode_inst0|Add23~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst0|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & +// (\hdmi_ctrl_inst|encode_inst0|Add23~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst0|Add23~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & +// (!\hdmi_ctrl_inst|encode_inst0|Add23~1 )))) +// \hdmi_ctrl_inst|encode_inst0|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst0|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & +// ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add23~1 )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add23~1 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add23~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add23~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add23~2 .lut_mask = 16'h694D; +defparam \hdmi_ctrl_inst|encode_inst0|Add23~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst0|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst0|Add23~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst0|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst0|Add23~3 )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add23~3 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add23~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add23~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add23~4 .lut_mask = 16'h5A05; +defparam \hdmi_ctrl_inst|encode_inst0|Add23~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst0|Add23~5 + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst0|Add23~5 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add23~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add23~6 .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst0|Add23~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] & VCC)) +// \hdmi_ctrl_inst|encode_inst0|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [0] & \hdmi_ctrl_inst|encode_inst0|q_m_n1 [0])) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [0]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add15~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add15~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add15~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst0|Add15~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & +// (!\hdmi_ctrl_inst|encode_inst0|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (!\hdmi_ctrl_inst|encode_inst0|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & ((\hdmi_ctrl_inst|encode_inst0|Add15~1 +// ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst0|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & !\hdmi_ctrl_inst|encode_inst0|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & +// ((!\hdmi_ctrl_inst|encode_inst0|Add15~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add15~1 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add15~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add15~2 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst0|Add15~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] $ (\hdmi_ctrl_inst|encode_inst0|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst0|Add15~3 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst0|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst0|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|cnt +// [2] & !\hdmi_ctrl_inst|encode_inst0|Add15~3 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add15~3 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add15~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add15~4 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst0|Add15~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & +// (!\hdmi_ctrl_inst|encode_inst0|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((\hdmi_ctrl_inst|encode_inst0|Add15~5 +// ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst0|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & !\hdmi_ctrl_inst|encode_inst0|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & +// ((!\hdmi_ctrl_inst|encode_inst0|Add15~5 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3])))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [3]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add15~5 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add15~7 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add15~6 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst0|Add15~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add15~8_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst0|Add15~7 ) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst0|Add15~7 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add15~8 .lut_mask = 16'hC3C3; +defparam \hdmi_ctrl_inst|encode_inst0|Add15~8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add19~3 & VCC)) +// \hdmi_ctrl_inst|encode_inst0|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [3] & !\hdmi_ctrl_inst|encode_inst0|Add19~3 )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add19~3 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add19~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add19~4 .lut_mask = 16'hA50A; +defparam \hdmi_ctrl_inst|encode_inst0|Add19~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add22~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst0|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst0|Add22~3 )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add22~3 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add22~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add22~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add22~4 .lut_mask = 16'h5AAF; +defparam \hdmi_ctrl_inst|encode_inst0|Add22~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & (\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & +// (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & +// ((\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst0|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & +// ((!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~16_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add16~15_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ), + .combout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst0|Add16~12_combout $ (\hdmi_ctrl_inst|encode_inst0|Add16~14_combout $ (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst0|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~12_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ) # (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~12_combout & +// (\hdmi_ctrl_inst|encode_inst0|Add16~14_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~12_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ), + .combout(\hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & (\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & +// (!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & +// ((\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst0|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & +// ((!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~8_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add16~10_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ), + .combout(\hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X40_Y22_N31 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] $ (GND))) +// \hdmi_ctrl_inst|encode_inst1|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add20~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add20~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add20~0 .lut_mask = 16'h66BB; +defparam \hdmi_ctrl_inst|encode_inst1|Add20~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst1|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & +// ((\hdmi_ctrl_inst|encode_inst1|Add20~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|Add20~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & +// (!\hdmi_ctrl_inst|encode_inst1|Add20~1 )))) +// \hdmi_ctrl_inst|encode_inst1|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((!\hdmi_ctrl_inst|encode_inst1|Add20~1 ) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & +// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst1|Add20~1 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add20~1 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add20~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add20~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add20~2 .lut_mask = 16'h692B; +defparam \hdmi_ctrl_inst|encode_inst1|Add20~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst1|Add20~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst1|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst1|Add20~3 )) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add20~3 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add20~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add20~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add20~4 .lut_mask = 16'h3CCF; +defparam \hdmi_ctrl_inst|encode_inst1|Add20~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst1|Add20~5 + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst1|Add20~5 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add20~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add20~6 .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst1|Add20~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [0] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [0] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & VCC)) +// \hdmi_ctrl_inst|encode_inst1|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [0] & \hdmi_ctrl_inst|encode_inst1|q_m_n1 [0])) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [0]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add17~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add17~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add17~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst1|Add17~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst1|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & +// (!\hdmi_ctrl_inst|encode_inst1|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst1|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & +// ((\hdmi_ctrl_inst|encode_inst1|Add17~1 ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst1|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst1|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((!\hdmi_ctrl_inst|encode_inst1|Add17~1 ) +// # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [1]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add17~1 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add17~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add17~2 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst1|Add17~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst1|cnt [2] $ (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst1|Add17~3 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst1|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 +// [2] & !\hdmi_ctrl_inst|encode_inst1|Add17~3 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [2]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add17~3 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add17~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add17~4 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst1|Add17~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|Add17~5 ) # (GND))) +// \hdmi_ctrl_inst|encode_inst1|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst1|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3])) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add17~5 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add17~6_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add17~7 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add17~6 .lut_mask = 16'h5A5F; +defparam \hdmi_ctrl_inst|encode_inst1|Add17~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add17~8_combout = \hdmi_ctrl_inst|encode_inst1|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst1|Add17~7 ) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [4]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst1|Add17~7 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add17~8 .lut_mask = 16'hA5A5; +defparam \hdmi_ctrl_inst|encode_inst1|Add17~8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]) # (GND))) +// \hdmi_ctrl_inst|encode_inst1|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1])) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add23~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add23~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add23~0 .lut_mask = 16'h66DD; +defparam \hdmi_ctrl_inst|encode_inst1|Add23~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst1|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst1|Add23~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst1|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst1|Add23~3 )) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add23~3 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add23~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add23~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add23~4 .lut_mask = 16'h3C03; +defparam \hdmi_ctrl_inst|encode_inst1|Add23~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst1|Add23~5 + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst1|Add23~5 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add23~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add23~6 .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst1|Add23~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst1|cnt [1] & (\hdmi_ctrl_inst|encode_inst1|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & +// (!\hdmi_ctrl_inst|encode_inst1|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|Add15~1 +// ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst1|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & !\hdmi_ctrl_inst|encode_inst1|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & +// ((!\hdmi_ctrl_inst|encode_inst1|Add15~1 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst1|cnt [1]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add15~1 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add15~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add15~2 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst1|Add15~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst1|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & +// (!\hdmi_ctrl_inst|encode_inst1|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst1|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & +// ((\hdmi_ctrl_inst|encode_inst1|Add15~5 ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst1|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst1|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((!\hdmi_ctrl_inst|encode_inst1|Add15~5 ) +// # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3])))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add15~5 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add15~7 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add15~6 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst1|Add15~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add15~8_combout = \hdmi_ctrl_inst|encode_inst1|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst1|Add15~7 ) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [4]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst1|Add15~7 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add15~8 .lut_mask = 16'hA5A5; +defparam \hdmi_ctrl_inst|encode_inst1|Add15~8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] & VCC)) +// \hdmi_ctrl_inst|encode_inst1|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & \hdmi_ctrl_inst|encode_inst1|cnt [1])) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .datab(\hdmi_ctrl_inst|encode_inst1|cnt [1]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add19~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add19~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add19~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst1|Add19~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [2] & (!\hdmi_ctrl_inst|encode_inst1|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|Add19~1 ) # (GND))) +// \hdmi_ctrl_inst|encode_inst1|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst1|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2])) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [2]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add19~1 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add19~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add19~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add19~2 .lut_mask = 16'h5A5F; +defparam \hdmi_ctrl_inst|encode_inst1|Add19~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & (\hdmi_ctrl_inst|encode_inst1|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|Add19~3 & VCC)) +// \hdmi_ctrl_inst|encode_inst1|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [3] & !\hdmi_ctrl_inst|encode_inst1|Add19~3 )) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add19~3 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add19~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add19~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add19~4 .lut_mask = 16'hA50A; +defparam \hdmi_ctrl_inst|encode_inst1|Add19~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add19~6_combout = \hdmi_ctrl_inst|encode_inst1|Add19~5 $ (\hdmi_ctrl_inst|encode_inst1|cnt [4]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]), + .cin(\hdmi_ctrl_inst|encode_inst1|Add19~5 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add19~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add19~6 .lut_mask = 16'h0FF0; +defparam \hdmi_ctrl_inst|encode_inst1|Add19~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & ((\hdmi_ctrl_inst|encode_inst1|cnt [1]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] $ (VCC))) +// \hdmi_ctrl_inst|encode_inst1|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]) # (\hdmi_ctrl_inst|encode_inst1|cnt [1])) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .datab(\hdmi_ctrl_inst|encode_inst1|cnt [1]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add22~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add22~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add22~0 .lut_mask = 16'h99EE; +defparam \hdmi_ctrl_inst|encode_inst1|Add22~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & (!\hdmi_ctrl_inst|encode_inst1|Add22~1 )) +// \hdmi_ctrl_inst|encode_inst1|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst1|cnt [2] & !\hdmi_ctrl_inst|encode_inst1|Add22~1 )) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [2]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add22~1 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add22~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add22~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add22~2 .lut_mask = 16'hA505; +defparam \hdmi_ctrl_inst|encode_inst1|Add22~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & (\hdmi_ctrl_inst|encode_inst1|Add22~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst1|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst1|Add22~3 )) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add22~3 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add22~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add22~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add22~4 .lut_mask = 16'h5AAF; +defparam \hdmi_ctrl_inst|encode_inst1|Add22~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X40_Y23_N7 +dffeas \hdmi_ctrl_inst|encode_inst1|data_out[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_out [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] $ (GND))) +// \hdmi_ctrl_inst|encode_inst2|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1])) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add20~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add20~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add20~0 .lut_mask = 16'h66BB; +defparam \hdmi_ctrl_inst|encode_inst2|Add20~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst2|Add20~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst2|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst2|Add20~3 )) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add20~3 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add20~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add20~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add20~4 .lut_mask = 16'h3CCF; +defparam \hdmi_ctrl_inst|encode_inst2|Add20~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [0] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [0] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & VCC)) +// \hdmi_ctrl_inst|encode_inst2|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [0] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [0])) + + .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [0]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add17~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add17~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add17~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst2|Add17~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & +// (!\hdmi_ctrl_inst|encode_inst2|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (!\hdmi_ctrl_inst|encode_inst2|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|Add17~1 +// ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst2|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & !\hdmi_ctrl_inst|encode_inst2|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & +// ((!\hdmi_ctrl_inst|encode_inst2|Add17~1 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [1]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add17~1 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add17~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add17~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add17~2 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst2|Add17~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] $ (\hdmi_ctrl_inst|encode_inst2|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst2|Add17~3 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst2|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst2|cnt +// [2] & !\hdmi_ctrl_inst|encode_inst2|Add17~3 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add17~3 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add17~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add17~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add17~4 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst2|Add17~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((\hdmi_ctrl_inst|encode_inst2|Add17~5 ) # (GND))) +// \hdmi_ctrl_inst|encode_inst2|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst2|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3])) + + .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add17~5 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add17~6_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add17~7 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add17~6 .lut_mask = 16'h5A5F; +defparam \hdmi_ctrl_inst|encode_inst2|Add17~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]) # (GND))) +// \hdmi_ctrl_inst|encode_inst2|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1])) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add23~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add23~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add23~0 .lut_mask = 16'h66DD; +defparam \hdmi_ctrl_inst|encode_inst2|Add23~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst2|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst2|Add23~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst2|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst2|Add23~3 )) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add23~3 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add23~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add23~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add23~4 .lut_mask = 16'h3C03; +defparam \hdmi_ctrl_inst|encode_inst2|Add23~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & +// (!\hdmi_ctrl_inst|encode_inst2|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (!\hdmi_ctrl_inst|encode_inst2|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|Add15~1 +// ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst2|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & !\hdmi_ctrl_inst|encode_inst2|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & +// ((!\hdmi_ctrl_inst|encode_inst2|Add15~1 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [1]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add15~1 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add15~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add15~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add15~2 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst2|Add15~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst2|cnt [2] $ (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst2|Add15~3 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst2|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 +// [2] & !\hdmi_ctrl_inst|encode_inst2|Add15~3 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [2]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add15~3 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add15~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add15~4 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst2|Add15~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & +// (!\hdmi_ctrl_inst|encode_inst2|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((\hdmi_ctrl_inst|encode_inst2|Add15~5 +// ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst2|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & !\hdmi_ctrl_inst|encode_inst2|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & +// ((!\hdmi_ctrl_inst|encode_inst2|Add15~5 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3])))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [3]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add15~5 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add15~6_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add15~7 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add15~6 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst2|Add15~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add15~8_combout = \hdmi_ctrl_inst|encode_inst2|Add15~7 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]), + .cin(\hdmi_ctrl_inst|encode_inst2|Add15~7 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add15~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add15~8 .lut_mask = 16'hF00F; +defparam \hdmi_ctrl_inst|encode_inst2|Add15~8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [2] & (!\hdmi_ctrl_inst|encode_inst2|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & ((\hdmi_ctrl_inst|encode_inst2|Add19~1 ) # (GND))) +// \hdmi_ctrl_inst|encode_inst2|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst2|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2])) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add19~1 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add19~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add19~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add19~2 .lut_mask = 16'h3C3F; +defparam \hdmi_ctrl_inst|encode_inst2|Add19~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add19~3 & VCC)) +// \hdmi_ctrl_inst|encode_inst2|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [3] & !\hdmi_ctrl_inst|encode_inst2|Add19~3 )) + + .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add19~3 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add19~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add19~4 .lut_mask = 16'hA50A; +defparam \hdmi_ctrl_inst|encode_inst2|Add19~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add19~6_combout = \hdmi_ctrl_inst|encode_inst2|Add19~5 $ (\hdmi_ctrl_inst|encode_inst2|cnt [4]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]), + .cin(\hdmi_ctrl_inst|encode_inst2|Add19~5 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add19~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add19~6 .lut_mask = 16'h0FF0; +defparam \hdmi_ctrl_inst|encode_inst2|Add19~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] $ (VCC))) +// \hdmi_ctrl_inst|encode_inst2|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [1]) # (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) + + .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add22~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add22~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add22~0 .lut_mask = 16'h99EE; +defparam \hdmi_ctrl_inst|encode_inst2|Add22~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & (!\hdmi_ctrl_inst|encode_inst2|Add22~1 )) +// \hdmi_ctrl_inst|encode_inst2|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst2|cnt [2] & !\hdmi_ctrl_inst|encode_inst2|Add22~1 )) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add22~1 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add22~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add22~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add22~2 .lut_mask = 16'hC303; +defparam \hdmi_ctrl_inst|encode_inst2|Add22~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add22~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst2|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst2|Add22~3 )) + + .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add22~3 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add22~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add22~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add22~4 .lut_mask = 16'h5AAF; +defparam \hdmi_ctrl_inst|encode_inst2|Add22~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add22~6_combout = \hdmi_ctrl_inst|encode_inst2|Add22~5 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]), + .cin(\hdmi_ctrl_inst|encode_inst2|Add22~5 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add22~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add22~6 .lut_mask = 16'hF00F; +defparam \hdmi_ctrl_inst|encode_inst2|Add22~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X40_Y24_N11 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[4] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X39_Y22_N19 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[6] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [6]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[6] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[6] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y21_N7 +dffeas \hdmi_ctrl_inst|encode_inst1|data_out[7] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_out [7]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[7] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[7] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N10 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~2 ( +// Equation(s): +// \vga_ctrl_inst|Add0~2_combout = (\vga_ctrl_inst|cnt_h [1] & (!\vga_ctrl_inst|Add0~1 )) # (!\vga_ctrl_inst|cnt_h [1] & ((\vga_ctrl_inst|Add0~1 ) # (GND))) +// \vga_ctrl_inst|Add0~3 = CARRY((!\vga_ctrl_inst|Add0~1 ) # (!\vga_ctrl_inst|cnt_h [1])) + + .dataa(\vga_ctrl_inst|cnt_h [1]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~1 ), + .combout(\vga_ctrl_inst|Add0~2_combout ), + .cout(\vga_ctrl_inst|Add0~3 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~2 .lut_mask = 16'h5A5F; +defparam \vga_ctrl_inst|Add0~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N18 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~10 ( +// Equation(s): +// \vga_ctrl_inst|Add0~10_combout = (\vga_ctrl_inst|cnt_h [5] & (!\vga_ctrl_inst|Add0~9 )) # (!\vga_ctrl_inst|cnt_h [5] & ((\vga_ctrl_inst|Add0~9 ) # (GND))) +// \vga_ctrl_inst|Add0~11 = CARRY((!\vga_ctrl_inst|Add0~9 ) # (!\vga_ctrl_inst|cnt_h [5])) + + .dataa(\vga_ctrl_inst|cnt_h [5]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~9 ), + .combout(\vga_ctrl_inst|Add0~10_combout ), + .cout(\vga_ctrl_inst|Add0~11 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~10 .lut_mask = 16'h5A5F; +defparam \vga_ctrl_inst|Add0~10 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N4 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~2 ( +// Equation(s): +// \vga_ctrl_inst|Add1~2_combout = (\vga_ctrl_inst|cnt_v [1] & (!\vga_ctrl_inst|Add1~1 )) # (!\vga_ctrl_inst|cnt_v [1] & ((\vga_ctrl_inst|Add1~1 ) # (GND))) +// \vga_ctrl_inst|Add1~3 = CARRY((!\vga_ctrl_inst|Add1~1 ) # (!\vga_ctrl_inst|cnt_v [1])) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_v [1]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~1 ), + .combout(\vga_ctrl_inst|Add1~2_combout ), + .cout(\vga_ctrl_inst|Add1~3 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~2 .lut_mask = 16'h3C3F; +defparam \vga_ctrl_inst|Add1~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N8 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~6 ( +// Equation(s): +// \vga_ctrl_inst|Add1~6_combout = (\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|Add1~5 )) # (!\vga_ctrl_inst|cnt_v [3] & ((\vga_ctrl_inst|Add1~5 ) # (GND))) +// \vga_ctrl_inst|Add1~7 = CARRY((!\vga_ctrl_inst|Add1~5 ) # (!\vga_ctrl_inst|cnt_v [3])) + + .dataa(\vga_ctrl_inst|cnt_v [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~5 ), + .combout(\vga_ctrl_inst|Add1~6_combout ), + .cout(\vga_ctrl_inst|Add1~7 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~6 .lut_mask = 16'h5A5F; +defparam \vga_ctrl_inst|Add1~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N10 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~8 ( +// Equation(s): +// \vga_ctrl_inst|Add1~8_combout = (\vga_ctrl_inst|cnt_v [4] & (\vga_ctrl_inst|Add1~7 $ (GND))) # (!\vga_ctrl_inst|cnt_v [4] & (!\vga_ctrl_inst|Add1~7 & VCC)) +// \vga_ctrl_inst|Add1~9 = CARRY((\vga_ctrl_inst|cnt_v [4] & !\vga_ctrl_inst|Add1~7 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_v [4]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~7 ), + .combout(\vga_ctrl_inst|Add1~8_combout ), + .cout(\vga_ctrl_inst|Add1~9 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~8 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add1~8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N12 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~10 ( +// Equation(s): +// \vga_ctrl_inst|Add1~10_combout = (\vga_ctrl_inst|cnt_v [5] & (!\vga_ctrl_inst|Add1~9 )) # (!\vga_ctrl_inst|cnt_v [5] & ((\vga_ctrl_inst|Add1~9 ) # (GND))) +// \vga_ctrl_inst|Add1~11 = CARRY((!\vga_ctrl_inst|Add1~9 ) # (!\vga_ctrl_inst|cnt_v [5])) + + .dataa(\vga_ctrl_inst|cnt_v [5]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~9 ), + .combout(\vga_ctrl_inst|Add1~10_combout ), + .cout(\vga_ctrl_inst|Add1~11 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~10 .lut_mask = 16'h5A5F; +defparam \vga_ctrl_inst|Add1~10 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N14 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~12 ( +// Equation(s): +// \vga_ctrl_inst|Add1~12_combout = (\vga_ctrl_inst|cnt_v [6] & (\vga_ctrl_inst|Add1~11 $ (GND))) # (!\vga_ctrl_inst|cnt_v [6] & (!\vga_ctrl_inst|Add1~11 & VCC)) +// \vga_ctrl_inst|Add1~13 = CARRY((\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|Add1~11 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_v [6]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~11 ), + .combout(\vga_ctrl_inst|Add1~12_combout ), + .cout(\vga_ctrl_inst|Add1~13 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~12 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add1~12 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N16 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~14 ( +// Equation(s): +// \vga_ctrl_inst|Add1~14_combout = (\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|Add1~13 )) # (!\vga_ctrl_inst|cnt_v [7] & ((\vga_ctrl_inst|Add1~13 ) # (GND))) +// \vga_ctrl_inst|Add1~15 = CARRY((!\vga_ctrl_inst|Add1~13 ) # (!\vga_ctrl_inst|cnt_v [7])) + + .dataa(\vga_ctrl_inst|cnt_v [7]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~13 ), + .combout(\vga_ctrl_inst|Add1~14_combout ), + .cout(\vga_ctrl_inst|Add1~15 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~14 .lut_mask = 16'h5A5F; +defparam \vga_ctrl_inst|Add1~14 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N18 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~16 ( +// Equation(s): +// \vga_ctrl_inst|Add1~16_combout = (\vga_ctrl_inst|cnt_v [8] & (\vga_ctrl_inst|Add1~15 $ (GND))) # (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|Add1~15 & VCC)) +// \vga_ctrl_inst|Add1~17 = CARRY((\vga_ctrl_inst|cnt_v [8] & !\vga_ctrl_inst|Add1~15 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_v [8]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~15 ), + .combout(\vga_ctrl_inst|Add1~16_combout ), + .cout(\vga_ctrl_inst|Add1~17 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~16 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add1~16 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N20 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~18 ( +// Equation(s): +// \vga_ctrl_inst|Add1~18_combout = (\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|Add1~17 )) # (!\vga_ctrl_inst|cnt_v [9] & ((\vga_ctrl_inst|Add1~17 ) # (GND))) +// \vga_ctrl_inst|Add1~19 = CARRY((!\vga_ctrl_inst|Add1~17 ) # (!\vga_ctrl_inst|cnt_v [9])) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_v [9]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~17 ), + .combout(\vga_ctrl_inst|Add1~18_combout ), + .cout(\vga_ctrl_inst|Add1~19 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~18 .lut_mask = 16'h3C3F; +defparam \vga_ctrl_inst|Add1~18 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N22 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~20 ( +// Equation(s): +// \vga_ctrl_inst|Add1~20_combout = (\vga_ctrl_inst|cnt_v [10] & (\vga_ctrl_inst|Add1~19 $ (GND))) # (!\vga_ctrl_inst|cnt_v [10] & (!\vga_ctrl_inst|Add1~19 & VCC)) +// \vga_ctrl_inst|Add1~21 = CARRY((\vga_ctrl_inst|cnt_v [10] & !\vga_ctrl_inst|Add1~19 )) + + .dataa(\vga_ctrl_inst|cnt_v [10]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~19 ), + .combout(\vga_ctrl_inst|Add1~20_combout ), + .cout(\vga_ctrl_inst|Add1~21 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~20 .lut_mask = 16'hA50A; +defparam \vga_ctrl_inst|Add1~20 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X40_Y20_N11 +dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y20_N15 +dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 .lut_mask = 16'h00F0; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N15 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|Add12~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) # +// (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|condition_3~1 .lut_mask = 16'h0A8E; +defparam \hdmi_ctrl_inst|encode_inst0|condition_3~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal1~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst0|cnt [4] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & !\hdmi_ctrl_inst|encode_inst0|cnt [0]))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [4]), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]), + .datac(\hdmi_ctrl_inst|encode_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|encode_inst0|cnt [0]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Equal1~0 .lut_mask = 16'h0001; +defparam \hdmi_ctrl_inst|encode_inst0|Equal1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N27 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X39_Y24_N19 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|Add14~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))) # +// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]) # ((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|condition_3~1 .lut_mask = 16'h4F04; +defparam \hdmi_ctrl_inst|encode_inst2|condition_3~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N31 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out~3_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]) # (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 .lut_mask = 16'hFFF0; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ) # (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add23~6_combout & ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add23~6_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~0 .lut_mask = 16'hCCE2; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ) # ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~0_combout +// & (((\hdmi_ctrl_inst|encode_inst0|Add20~6_combout & \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|Add20~6_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~1 .lut_mask = 16'hD8AA; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~3 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & +// ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|Add17~6_combout ))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add23~4_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add23~4_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|Add17~6_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~3 .lut_mask = 16'hF2C2; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~3_combout & (((\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~3_combout +// & (\hdmi_ctrl_inst|encode_inst0|Add20~4_combout & ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add20~4_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|Add16~3_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~4 .lut_mask = 16'hCAF0; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~5 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~5_combout = (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ))) # +// (!\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst0|Add22~4_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|Add22~4_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~5 .lut_mask = 16'h3210; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst0|Add20~2_combout )) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add23~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add20~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add23~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~7_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~7 .lut_mask = 16'hFA0C; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~7_combout & ((\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ) # ((!\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~7_combout +// & (((\hdmi_ctrl_inst|encode_inst0|Add17~4_combout & \hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~7_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|Add17~4_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~8 .lut_mask = 16'hD8AA; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~11 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ) # ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|Add23~0_combout & !\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add23~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~11_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~11 .lut_mask = 16'hF0AC; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~11 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~12 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~11_combout & ((\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ) # ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst0|Add16~11_combout & (((\hdmi_ctrl_inst|encode_inst0|Add20~0_combout & \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~11_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|Add20~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~12_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~12 .lut_mask = 16'hD8AA; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~12 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~16 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|cnt [0]))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]), + .datad(\hdmi_ctrl_inst|encode_inst0|cnt [0]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~16_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~16 .lut_mask = 16'h5F0A; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~16 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal1~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Equal1~1_combout = (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & \hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst0|cnt [3]), + .datad(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Equal1~1 .lut_mask = 16'h0F00; +defparam \hdmi_ctrl_inst|encode_inst0|Equal1~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add12~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add12~1_combout = (!\hdmi_ctrl_inst|encode_inst0|data_in_reg [4] & \hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add12~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add12~1 .lut_mask = 16'h3300; +defparam \hdmi_ctrl_inst|encode_inst0|Add12~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N7 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out~2_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [3]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out~2 .lut_mask = 16'h995A; +defparam \hdmi_ctrl_inst|encode_inst0|data_out~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N15 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3]))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [4]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 .lut_mask = 16'hACAC; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ) # (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~6_combout & ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add23~6_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~0 .lut_mask = 16'hAAE4; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~0_combout & ((\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ) # ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~0_combout +// & (((\hdmi_ctrl_inst|encode_inst1|Add20~6_combout & \hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add20~6_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|Add16~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~1 .lut_mask = 16'hACF0; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~5 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~5_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3])))) # +// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add22~4_combout & (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add22~4_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~5 .lut_mask = 16'hA4AE; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & \hdmi_ctrl_inst|encode_inst1|Add19~4_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add19~4_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~6 .lut_mask = 16'hF8F8; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & +// ((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add22~2_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add22~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~7_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~7 .lut_mask = 16'hAF44; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~7_combout & (((!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~7_combout & +// (\hdmi_ctrl_inst|encode_inst1|Add19~2_combout & (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add19~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add16~7_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~8 .lut_mask = 16'h2CEC; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~13 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ) # (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~0_combout & ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add23~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~13_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~13 .lut_mask = 16'hF0CA; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~13 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~14 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~13_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst1|Add16~13_combout & (\hdmi_ctrl_inst|encode_inst1|Add20~0_combout & ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add20~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add16~13_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~14_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~14 .lut_mask = 16'hE2CC; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~14 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~16 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|cnt [0]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]), + .datad(\hdmi_ctrl_inst|encode_inst1|cnt [0]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~16_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~16 .lut_mask = 16'h3F0C; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~16 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal2~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Equal2~1_combout = (\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Equal2~1 .lut_mask = 16'h00AA; +defparam \hdmi_ctrl_inst|encode_inst1|Equal2~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N31 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X37_Y21_N1 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out~2_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [2] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [2]), + .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out~2 .lut_mask = 16'hA53C; +defparam \hdmi_ctrl_inst|encode_inst1|data_out~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst2|Add19~6_combout )) # +// (!\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst2|Add22~6_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add19~6_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add22~6_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~2 .lut_mask = 16'hFAFC; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~3 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & +// ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add17~6_combout )) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add23~4_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add17~6_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|Add23~4_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~3 .lut_mask = 16'hE3E0; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~3_combout & ((\hdmi_ctrl_inst|encode_inst2|Add15~6_combout ) # ((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~3_combout +// & (((\hdmi_ctrl_inst|encode_inst2|Add20~4_combout & \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add15~6_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add20~4_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|Add16~3_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~4 .lut_mask = 16'hACF0; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~9 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2])))) # +// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add22~2_combout & ((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add22~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~9_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~9 .lut_mask = 16'hAA4E; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~9 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~10 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~9_combout & (((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2])))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~9_combout & +// (\hdmi_ctrl_inst|encode_inst2|Add19~2_combout & ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add19~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]), + .datac(\hdmi_ctrl_inst|encode_inst2|Add16~9_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~10_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~10 .lut_mask = 16'h3AF0; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~10 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~11 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|Add17~2_combout ) # (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add23~0_combout & ((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add23~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add17~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~11_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~11 .lut_mask = 16'hF0CA; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~11 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~12 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~11_combout & (((\hdmi_ctrl_inst|encode_inst2|Add15~2_combout ) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst2|Add16~11_combout & (\hdmi_ctrl_inst|encode_inst2|Add20~0_combout & ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add20~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add15~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|Add16~11_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~12_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~12 .lut_mask = 16'hCAF0; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~12 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~13 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]))) # +// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|Add22~0_combout & !\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]), + .datac(\hdmi_ctrl_inst|encode_inst2|Add22~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~13_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~13 .lut_mask = 16'hAA72; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~13 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~16 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|cnt [0])) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [0]), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~16_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~16 .lut_mask = 16'h0FCC; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~16 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal2~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Equal2~1_combout = (\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Equal2~1 .lut_mask = 16'h00CC; +defparam \hdmi_ctrl_inst|encode_inst2|Equal2~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add14~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]), + .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add14~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add14~1 .lut_mask = 16'hC00C; +defparam \hdmi_ctrl_inst|encode_inst2|Add14~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y24_N25 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out~2_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [3]), + .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out~2 .lut_mask = 16'h939C; +defparam \hdmi_ctrl_inst|encode_inst2|data_out~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~3 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out~3_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datac(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out~3 .lut_mask = 16'hF303; +defparam \hdmi_ctrl_inst|encode_inst2|data_out~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N10 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~0 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~0_combout = (!\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|cnt_v [8]))) + + .dataa(\vga_ctrl_inst|cnt_v [9]), + .datab(\vga_ctrl_inst|cnt_v [7]), + .datac(\vga_ctrl_inst|cnt_v [6]), + .datad(\vga_ctrl_inst|cnt_v [8]), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~0 .lut_mask = 16'h0001; +defparam \vga_ctrl_inst|pix_data_req~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X32_Y20_N31 +dffeas \vga_ctrl_inst|cnt_v[10] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[10]~12_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [10]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[10] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[10] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y20_N4 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~3 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~3_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~2_combout & ((\vga_ctrl_inst|always1~0_combout ) # (!\vga_ctrl_inst|cnt_v [9])))) + + .dataa(\vga_ctrl_inst|pix_data_req~1_combout ), + .datab(\vga_ctrl_inst|cnt_v [9]), + .datac(\vga_ctrl_inst|always1~0_combout ), + .datad(\vga_ctrl_inst|pix_data_req~2_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~3_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~3 .lut_mask = 16'hA200; +defparam \vga_ctrl_inst|pix_data_req~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout = \hdmi_ctrl_inst|encode_inst0|data_in_reg [3] $ (\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]), + .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 .lut_mask = 16'h0FF0; +defparam \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N11 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~5 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out~5_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [4]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out~5_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out~5 .lut_mask = 16'h995A; +defparam \hdmi_ctrl_inst|encode_inst0|data_out~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N19 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [6])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_out [6]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 .lut_mask = 16'hCFC0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N31 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [5]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y21_N27 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [7])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4]))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [7]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 .lut_mask = 16'hB8B8; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N21 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out~4_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [4]), + .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out~4 .lut_mask = 16'hA53C; +defparam \hdmi_ctrl_inst|encode_inst1|data_out~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout = \hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 .lut_mask = 16'h0FF0; +defparam \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N9 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~5 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out~5_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [4]), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out~5_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out~5 .lut_mask = 16'hC366; +defparam \hdmi_ctrl_inst|encode_inst2|data_out~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N13 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X37_Y20_N11 +dffeas \vga_ctrl_inst|cnt_h[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~2_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [1]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[1] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N26 +cycloneive_lcell_comb \vga_ctrl_inst|pix_x[10]~1 ( +// Equation(s): +// \vga_ctrl_inst|pix_x[10]~1_combout = (\vga_ctrl_inst|Add2~18_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_ctrl_inst|Add2~18_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_x[10]~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_x[10]~1 .lut_mask = 16'hFF0F; +defparam \vga_ctrl_inst|pix_x[10]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N10 +cycloneive_lcell_comb \vga_pic_inst|always0~1 ( +// Equation(s): +// \vga_pic_inst|always0~1_combout = (\vga_ctrl_inst|Add2~14_combout ) # ((\vga_ctrl_inst|Add2~12_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )) + + .dataa(\vga_ctrl_inst|Add2~14_combout ), + .datab(gnd), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_ctrl_inst|Add2~12_combout ), + .cin(gnd), + .combout(\vga_pic_inst|always0~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|always0~1 .lut_mask = 16'hFFAF; +defparam \vga_pic_inst|always0~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N12 +cycloneive_lcell_comb \vga_pic_inst|always0~2 ( +// Equation(s): +// \vga_pic_inst|always0~2_combout = (\vga_pic_inst|always0~1_combout ) # ((\vga_ctrl_inst|Add2~18_combout ) # ((\vga_ctrl_inst|Add2~16_combout ) # (\vga_pic_inst|LessThan17~2_combout ))) + + .dataa(\vga_pic_inst|always0~1_combout ), + .datab(\vga_ctrl_inst|Add2~18_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_pic_inst|LessThan17~2_combout ), + .cin(gnd), + .combout(\vga_pic_inst|always0~2_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|always0~2 .lut_mask = 16'hFFFE; +defparam \vga_pic_inst|always0~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N6 +cycloneive_lcell_comb \vga_pic_inst|pix_data[9]~14 ( +// Equation(s): +// \vga_pic_inst|pix_data[9]~14_combout = (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~14_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_ctrl_inst|Add2~14_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data[9]~14_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[9]~14 .lut_mask = 16'h00F0; +defparam \vga_pic_inst|pix_data[9]~14 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N18 +cycloneive_lcell_comb \vga_pic_inst|pix_data~16 ( +// Equation(s): +// \vga_pic_inst|pix_data~16_combout = (\vga_pic_inst|always0~2_combout & (!\vga_pic_inst|pix_data[9]~15_combout & (!\vga_ctrl_inst|pix_x[11]~0_combout & \vga_pic_inst|pix_data[13]~9_combout ))) + + .dataa(\vga_pic_inst|always0~2_combout ), + .datab(\vga_pic_inst|pix_data[9]~15_combout ), + .datac(\vga_ctrl_inst|pix_x[11]~0_combout ), + .datad(\vga_pic_inst|pix_data[13]~9_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~16_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~16 .lut_mask = 16'h0200; +defparam \vga_pic_inst|pix_data~16 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y20_N22 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~8 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~8_combout = (!\vga_ctrl_inst|cnt_v [11] & !\vga_ctrl_inst|cnt_v [10]) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_v [11]), + .datac(\vga_ctrl_inst|cnt_v [10]), + .datad(gnd), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~8_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~8 .lut_mask = 16'h0303; +defparam \vga_ctrl_inst|pix_data_req~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N30 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[10]~12 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[10]~12_combout = (\vga_ctrl_inst|Add1~20_combout & (((!\vga_ctrl_inst|Equal0~3_combout & \vga_ctrl_inst|cnt_v [10])) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~20_combout & (!\vga_ctrl_inst|Equal0~3_combout +// & (\vga_ctrl_inst|cnt_v [10]))) + + .dataa(\vga_ctrl_inst|Add1~20_combout ), + .datab(\vga_ctrl_inst|Equal0~3_combout ), + .datac(\vga_ctrl_inst|cnt_v [10]), + .datad(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[10]~12_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[10]~12 .lut_mask = 16'h30BA; +defparam \vga_ctrl_inst|cnt_v[10]~12 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout = \hdmi_ctrl_inst|encode_inst0|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]), + .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 .lut_mask = 16'h3C3C; +defparam \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 .lut_mask = 16'h33AA; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N23 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out~8_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [8]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[8] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[8] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst0|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [8]), + .datab(gnd), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 .lut_mask = 16'hA0A0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N22 +cycloneive_lcell_comb \vga_pic_inst|LessThan10~0 ( +// Equation(s): +// \vga_pic_inst|LessThan10~0_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~16_combout & ((\vga_pic_inst|LessThan17~2_combout ) # (!\vga_ctrl_inst|Add2~14_combout )))) + + .dataa(\vga_ctrl_inst|pix_data_req~7_combout ), + .datab(\vga_pic_inst|LessThan17~2_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_ctrl_inst|Add2~14_combout ), + .cin(gnd), + .combout(\vga_pic_inst|LessThan10~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|LessThan10~0 .lut_mask = 16'h080A; +defparam \vga_pic_inst|LessThan10~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N24 +cycloneive_lcell_comb \vga_pic_inst|pix_data~22 ( +// Equation(s): +// \vga_pic_inst|pix_data~22_combout = (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~10_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_ctrl_inst|Add2~10_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~22_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~22 .lut_mask = 16'h00F0; +defparam \vga_pic_inst|pix_data~22 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N2 +cycloneive_lcell_comb \vga_pic_inst|pix_data~23 ( +// Equation(s): +// \vga_pic_inst|pix_data~23_combout = (!\vga_ctrl_inst|Add2~14_combout & (\vga_pic_inst|pix_data~22_combout & (!\vga_ctrl_inst|Add2~16_combout & \vga_ctrl_inst|Add2~12_combout ))) + + .dataa(\vga_ctrl_inst|Add2~14_combout ), + .datab(\vga_pic_inst|pix_data~22_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_ctrl_inst|Add2~12_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~23_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~23 .lut_mask = 16'h0400; +defparam \vga_pic_inst|pix_data~23 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N20 +cycloneive_lcell_comb \vga_pic_inst|LessThan14~1 ( +// Equation(s): +// \vga_pic_inst|LessThan14~1_combout = (\vga_ctrl_inst|Add2~12_combout & \vga_ctrl_inst|Add2~10_combout ) + + .dataa(\vga_ctrl_inst|Add2~12_combout ), + .datab(gnd), + .datac(gnd), + .datad(\vga_ctrl_inst|Add2~10_combout ), + .cin(gnd), + .combout(\vga_pic_inst|LessThan14~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|LessThan14~1 .lut_mask = 16'hAA00; +defparam \vga_pic_inst|LessThan14~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N30 +cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~24 ( +// Equation(s): +// \vga_pic_inst|pix_data[13]~24_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_pic_inst|LessThan14~1_combout & (!\vga_ctrl_inst|Add2~16_combout & !\vga_ctrl_inst|Add2~14_combout ))) + + .dataa(\vga_ctrl_inst|pix_data_req~7_combout ), + .datab(\vga_pic_inst|LessThan14~1_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_ctrl_inst|Add2~14_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data[13]~24_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[13]~24 .lut_mask = 16'h0002; +defparam \vga_pic_inst|pix_data[13]~24 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N8 +cycloneive_lcell_comb \vga_pic_inst|pix_data~25 ( +// Equation(s): +// \vga_pic_inst|pix_data~25_combout = (!\vga_ctrl_inst|pix_x[10]~1_combout & ((\vga_pic_inst|pix_data~23_combout ) # ((\vga_pic_inst|LessThan10~0_combout & !\vga_pic_inst|pix_data[13]~24_combout )))) + + .dataa(\vga_pic_inst|LessThan10~0_combout ), + .datab(\vga_ctrl_inst|pix_x[10]~1_combout ), + .datac(\vga_pic_inst|pix_data[13]~24_combout ), + .datad(\vga_pic_inst|pix_data~23_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~25_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~25 .lut_mask = 16'h3302; +defparam \vga_pic_inst|pix_data~25 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout = \hdmi_ctrl_inst|encode_inst1|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]), + .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 .lut_mask = 16'hC33C; +defparam \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N7 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [7]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~5 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out~5_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [7] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [7]), + .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out~5_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out~5 .lut_mask = 16'h9A56; +defparam \hdmi_ctrl_inst|encode_inst1|data_out~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N9 +dffeas \hdmi_ctrl_inst|encode_inst1|data_out[9] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|data_out~6_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_out [9]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[9] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[9] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout = (\hdmi_ctrl_inst|encode_inst1|data_out [9] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|data_out [9]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 .lut_mask = 16'hC0C0; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout = \hdmi_ctrl_inst|encode_inst2|data_in_reg [3] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 .lut_mask = 16'h33CC; +defparam \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N23 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out~8_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [8]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[8] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[8] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst2|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [8]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(gnd), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 .lut_mask = 16'h8888; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out~8_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out~8 .lut_mask = 16'h8D8D; +defparam \hdmi_ctrl_inst|encode_inst0|data_out~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst1|data_in_reg [7] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]), + .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 .lut_mask = 16'hC33C; +defparam \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out~6_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst2|c1_reg2~q $ +// ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .datab(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ), + .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out~6 .lut_mask = 16'hEB41; +defparam \hdmi_ctrl_inst|encode_inst1|data_out~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out~8_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )) + + .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .datab(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out~8 .lut_mask = 16'hB1B1; +defparam \hdmi_ctrl_inst|encode_inst2|data_out~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N14 +cycloneive_lcell_comb \vga_pic_inst|pix_data~37 ( +// Equation(s): +// \vga_pic_inst|pix_data~37_combout = ((!\vga_ctrl_inst|Add2~18_combout & (\vga_ctrl_inst|pix_data_req~7_combout & \vga_pic_inst|pix_data~23_combout ))) # (!\vga_pic_inst|pix_data~16_combout ) + + .dataa(\vga_pic_inst|pix_data~16_combout ), + .datab(\vga_ctrl_inst|Add2~18_combout ), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_pic_inst|pix_data~23_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~37_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~37 .lut_mask = 16'h7555; +defparam \vga_pic_inst|pix_data~37 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: CLKCTRL_G8 +cycloneive_clkctrl \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl ( + .ena(vcc), + .inclk({vcc,vcc,vcc,\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0]}), + .clkselect(2'b00), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk )); +// synopsys translate_off +defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .clock_type = "global clock"; +defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~2_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out~2_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~2_combout + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out~2_combout ), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder .lut_mask = 16'hAAAA; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~2_combout + + .dataa(\hdmi_ctrl_inst|encode_inst2|data_out~2_combout ), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder .lut_mask = 16'hAAAA; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~5_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out~5_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~4_combout + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|data_out~4_combout ), + .datac(gnd), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder .lut_mask = 16'hCCCC; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~5_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out~5_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~5_combout + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out~5_combout ), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder .lut_mask = 16'hAAAA; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y13_N16 +cycloneive_io_obuf \ddc_scl~output ( + .i(vcc), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(ddc_scl), + .obar()); +// synopsys translate_off +defparam \ddc_scl~output .bus_hold = "false"; +defparam \ddc_scl~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y10_N16 +cycloneive_io_obuf \ddc_sda~output ( + .i(vcc), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(ddc_sda), + .obar()); +// synopsys translate_off +defparam \ddc_sda~output .bus_hold = "false"; +defparam \ddc_sda~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y21_N23 +cycloneive_io_obuf \tmds_clk_p~output ( + .i(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(tmds_clk_p), + .obar()); +// synopsys translate_off +defparam \tmds_clk_p~output .bus_hold = "false"; +defparam \tmds_clk_p~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y20_N2 +cycloneive_io_obuf \tmds_clk_n~output ( + .i(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(tmds_clk_n), + .obar()); +// synopsys translate_off +defparam \tmds_clk_n~output .bus_hold = "false"; +defparam \tmds_clk_n~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y22_N16 +cycloneive_io_obuf \tmds_data_p[0]~output ( + .i(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(tmds_data_p[0]), + .obar()); +// synopsys translate_off +defparam \tmds_data_p[0]~output .bus_hold = "false"; +defparam \tmds_data_p[0]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y23_N9 +cycloneive_io_obuf \tmds_data_p[1]~output ( + .i(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(tmds_data_p[1]), + .obar()); +// synopsys translate_off +defparam \tmds_data_p[1]~output .bus_hold = "false"; +defparam \tmds_data_p[1]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y24_N2 +cycloneive_io_obuf \tmds_data_p[2]~output ( + .i(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(tmds_data_p[2]), + .obar()); +// synopsys translate_off +defparam \tmds_data_p[2]~output .bus_hold = "false"; +defparam \tmds_data_p[2]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y22_N23 +cycloneive_io_obuf \tmds_data_n[0]~output ( + .i(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(tmds_data_n[0]), + .obar()); +// synopsys translate_off +defparam \tmds_data_n[0]~output .bus_hold = "false"; +defparam \tmds_data_n[0]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y23_N16 +cycloneive_io_obuf \tmds_data_n[1]~output ( + .i(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(tmds_data_n[1]), + .obar()); +// synopsys translate_off +defparam \tmds_data_n[1]~output .bus_hold = "false"; +defparam \tmds_data_n[1]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y24_N9 +cycloneive_io_obuf \tmds_data_n[2]~output ( + .i(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(tmds_data_n[2]), + .obar()); +// synopsys translate_off +defparam \tmds_data_n[2]~output .bus_hold = "false"; +defparam \tmds_data_n[2]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout = (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 .lut_mask = 16'h0303; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N25 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [1] $ (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 .lut_mask = 16'h0FF0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N19 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] $ (((\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 .lut_mask = 16'h3CF0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N17 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1]), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 .lut_mask = 16'h00AA; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N13 +dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N31 +dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) # (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 .lut_mask = 16'hFCFC; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N5 +dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 .lut_mask = 16'h00F0; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N3 +dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 .lut_mask = 16'h00CC; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N29 +dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 .lut_mask = 16'h00CC; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N23 +dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] .power_up = "low"; +// synopsys translate_on + +// Location: IOIBUF_X41_Y15_N22 +cycloneive_io_ibuf \sys_clk~input ( + .i(sys_clk), + .ibar(gnd), + .o(\sys_clk~input_o )); +// synopsys translate_off +defparam \sys_clk~input .bus_hold = "false"; +defparam \sys_clk~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: CLKCTRL_G9 +cycloneive_clkctrl \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl ( + .ena(vcc), + .inclk({vcc,vcc,vcc,\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [1]}), + .clkselect(2'b00), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk )); +// synopsys translate_off +defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl .clock_type = "global clock"; +defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: DDIOOUTCELL_X41_Y21_N25 +cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] ( + .datainlo(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]), + .datainhi(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]), + .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clk(gnd), + .ena(vcc), + .areset(gnd), + .sreset(gnd), + .devclrn(devclrn), + .devpor(devpor), + .dataout(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .dfflo(), + .dffhi()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true"; +// synopsys translate_on + +// Location: DDIOOUTCELL_X41_Y20_N4 +cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] ( + .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]), + .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]), + .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clk(gnd), + .ena(vcc), + .areset(gnd), + .sreset(gnd), + .devclrn(devclrn), + .devpor(devpor), + .dataout(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .dfflo(), + .dffhi()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N8 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~0 ( +// Equation(s): +// \vga_ctrl_inst|Add0~0_combout = \vga_ctrl_inst|cnt_h [0] $ (VCC) +// \vga_ctrl_inst|Add0~1 = CARRY(\vga_ctrl_inst|cnt_h [0]) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\vga_ctrl_inst|Add0~0_combout ), + .cout(\vga_ctrl_inst|Add0~1 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~0 .lut_mask = 16'h33CC; +defparam \vga_ctrl_inst|Add0~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y26_N0 +cycloneive_lcell_comb \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder ( +// Equation(s): +// \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout = VCC + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(gnd), + .combout(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .lut_mask = 16'hFFFF; +defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: IOIBUF_X41_Y4_N1 +cycloneive_io_ibuf \sys_rst_n~input ( + .i(sys_rst_n), + .ibar(gnd), + .o(\sys_rst_n~input_o )); +// synopsys translate_off +defparam \sys_rst_n~input .bus_hold = "false"; +defparam \sys_rst_n~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: FF_X40_Y26_N1 +dffeas \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ), + .d(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ), + .asdata(vcc), + .clrn(\sys_rst_n~input_o ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ), + .prn(vcc)); +// synopsys translate_off +defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .is_wysiwyg = "true"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y26_N18 +cycloneive_lcell_comb \rst_n~0 ( +// Equation(s): +// \rst_n~0_combout = ((!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ) # (!\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q )) # (!\sys_rst_n~input_o ) + + .dataa(\sys_rst_n~input_o ), + .datab(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ), + .datac(gnd), + .datad(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ), + .cin(gnd), + .combout(\rst_n~0_combout ), + .cout()); +// synopsys translate_off +defparam \rst_n~0 .lut_mask = 16'h77FF; +defparam \rst_n~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: CLKCTRL_G7 +cycloneive_clkctrl \rst_n~0clkctrl ( + .ena(vcc), + .inclk({vcc,vcc,vcc,\rst_n~0_combout }), + .clkselect(2'b00), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\rst_n~0clkctrl_outclk )); +// synopsys translate_off +defparam \rst_n~0clkctrl .clock_type = "global clock"; +defparam \rst_n~0clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: FF_X37_Y20_N9 +dffeas \vga_ctrl_inst|cnt_h[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [0]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[0] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N12 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~4 ( +// Equation(s): +// \vga_ctrl_inst|Add0~4_combout = (\vga_ctrl_inst|cnt_h [2] & (\vga_ctrl_inst|Add0~3 $ (GND))) # (!\vga_ctrl_inst|cnt_h [2] & (!\vga_ctrl_inst|Add0~3 & VCC)) +// \vga_ctrl_inst|Add0~5 = CARRY((\vga_ctrl_inst|cnt_h [2] & !\vga_ctrl_inst|Add0~3 )) + + .dataa(\vga_ctrl_inst|cnt_h [2]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~3 ), + .combout(\vga_ctrl_inst|Add0~4_combout ), + .cout(\vga_ctrl_inst|Add0~5 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~4 .lut_mask = 16'hA50A; +defparam \vga_ctrl_inst|Add0~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N14 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~6 ( +// Equation(s): +// \vga_ctrl_inst|Add0~6_combout = (\vga_ctrl_inst|cnt_h [3] & (!\vga_ctrl_inst|Add0~5 )) # (!\vga_ctrl_inst|cnt_h [3] & ((\vga_ctrl_inst|Add0~5 ) # (GND))) +// \vga_ctrl_inst|Add0~7 = CARRY((!\vga_ctrl_inst|Add0~5 ) # (!\vga_ctrl_inst|cnt_h [3])) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [3]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~5 ), + .combout(\vga_ctrl_inst|Add0~6_combout ), + .cout(\vga_ctrl_inst|Add0~7 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~6 .lut_mask = 16'h3C3F; +defparam \vga_ctrl_inst|Add0~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y20_N15 +dffeas \vga_ctrl_inst|cnt_h[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~6_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [3]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[3] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N16 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~8 ( +// Equation(s): +// \vga_ctrl_inst|Add0~8_combout = (\vga_ctrl_inst|cnt_h [4] & (\vga_ctrl_inst|Add0~7 $ (GND))) # (!\vga_ctrl_inst|cnt_h [4] & (!\vga_ctrl_inst|Add0~7 & VCC)) +// \vga_ctrl_inst|Add0~9 = CARRY((\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add0~7 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [4]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~7 ), + .combout(\vga_ctrl_inst|Add0~8_combout ), + .cout(\vga_ctrl_inst|Add0~9 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~8 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add0~8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y20_N17 +dffeas \vga_ctrl_inst|cnt_h[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~8_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [4]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[4] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N20 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~12 ( +// Equation(s): +// \vga_ctrl_inst|Add0~12_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add0~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add0~11 & VCC)) +// \vga_ctrl_inst|Add0~13 = CARRY((\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add0~11 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [6]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~11 ), + .combout(\vga_ctrl_inst|Add0~12_combout ), + .cout(\vga_ctrl_inst|Add0~13 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~12 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add0~12 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y20_N21 +dffeas \vga_ctrl_inst|cnt_h[6] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~12_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [6]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[6] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[6] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N22 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~14 ( +// Equation(s): +// \vga_ctrl_inst|Add0~14_combout = (\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add0~13 )) # (!\vga_ctrl_inst|cnt_h [7] & ((\vga_ctrl_inst|Add0~13 ) # (GND))) +// \vga_ctrl_inst|Add0~15 = CARRY((!\vga_ctrl_inst|Add0~13 ) # (!\vga_ctrl_inst|cnt_h [7])) + + .dataa(\vga_ctrl_inst|cnt_h [7]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~13 ), + .combout(\vga_ctrl_inst|Add0~14_combout ), + .cout(\vga_ctrl_inst|Add0~15 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~14 .lut_mask = 16'h5A5F; +defparam \vga_ctrl_inst|Add0~14 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y20_N23 +dffeas \vga_ctrl_inst|cnt_h[7] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~14_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [7]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[7] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[7] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X37_Y20_N13 +dffeas \vga_ctrl_inst|cnt_h[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~4_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [2]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[2] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N4 +cycloneive_lcell_comb \vga_ctrl_inst|Equal0~0 ( +// Equation(s): +// \vga_ctrl_inst|Equal0~0_combout = (\vga_ctrl_inst|cnt_h [1] & (\vga_ctrl_inst|cnt_h [3] & (\vga_ctrl_inst|cnt_h [0] & \vga_ctrl_inst|cnt_h [2]))) + + .dataa(\vga_ctrl_inst|cnt_h [1]), + .datab(\vga_ctrl_inst|cnt_h [3]), + .datac(\vga_ctrl_inst|cnt_h [0]), + .datad(\vga_ctrl_inst|cnt_h [2]), + .cin(gnd), + .combout(\vga_ctrl_inst|Equal0~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|Equal0~0 .lut_mask = 16'h8000; +defparam \vga_ctrl_inst|Equal0~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N24 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~16 ( +// Equation(s): +// \vga_ctrl_inst|Add0~16_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add0~15 $ (GND))) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add0~15 & VCC)) +// \vga_ctrl_inst|Add0~17 = CARRY((\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add0~15 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [8]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~15 ), + .combout(\vga_ctrl_inst|Add0~16_combout ), + .cout(\vga_ctrl_inst|Add0~17 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~16 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add0~16 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N26 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~18 ( +// Equation(s): +// \vga_ctrl_inst|Add0~18_combout = (\vga_ctrl_inst|cnt_h [9] & (!\vga_ctrl_inst|Add0~17 )) # (!\vga_ctrl_inst|cnt_h [9] & ((\vga_ctrl_inst|Add0~17 ) # (GND))) +// \vga_ctrl_inst|Add0~19 = CARRY((!\vga_ctrl_inst|Add0~17 ) # (!\vga_ctrl_inst|cnt_h [9])) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [9]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~17 ), + .combout(\vga_ctrl_inst|Add0~18_combout ), + .cout(\vga_ctrl_inst|Add0~19 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~18 .lut_mask = 16'h3C3F; +defparam \vga_ctrl_inst|Add0~18 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N28 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~20 ( +// Equation(s): +// \vga_ctrl_inst|Add0~20_combout = (\vga_ctrl_inst|cnt_h [10] & (\vga_ctrl_inst|Add0~19 $ (GND))) # (!\vga_ctrl_inst|cnt_h [10] & (!\vga_ctrl_inst|Add0~19 & VCC)) +// \vga_ctrl_inst|Add0~21 = CARRY((\vga_ctrl_inst|cnt_h [10] & !\vga_ctrl_inst|Add0~19 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [10]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~19 ), + .combout(\vga_ctrl_inst|Add0~20_combout ), + .cout(\vga_ctrl_inst|Add0~21 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~20 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add0~20 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y20_N29 +dffeas \vga_ctrl_inst|cnt_h[10] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~20_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [10]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[10] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[10] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N30 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~22 ( +// Equation(s): +// \vga_ctrl_inst|Add0~22_combout = \vga_ctrl_inst|cnt_h [11] $ (\vga_ctrl_inst|Add0~21 ) + + .dataa(\vga_ctrl_inst|cnt_h [11]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\vga_ctrl_inst|Add0~21 ), + .combout(\vga_ctrl_inst|Add0~22_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~22 .lut_mask = 16'h5A5A; +defparam \vga_ctrl_inst|Add0~22 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y20_N31 +dffeas \vga_ctrl_inst|cnt_h[11] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~22_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [11]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[11] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[11] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N6 +cycloneive_lcell_comb \vga_ctrl_inst|Equal0~1 ( +// Equation(s): +// \vga_ctrl_inst|Equal0~1_combout = (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|cnt_h [10] & (!\vga_ctrl_inst|cnt_h [11] & \vga_ctrl_inst|cnt_h [9]))) + + .dataa(\vga_ctrl_inst|cnt_h [7]), + .datab(\vga_ctrl_inst|cnt_h [10]), + .datac(\vga_ctrl_inst|cnt_h [11]), + .datad(\vga_ctrl_inst|cnt_h [9]), + .cin(gnd), + .combout(\vga_ctrl_inst|Equal0~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|Equal0~1 .lut_mask = 16'h0100; +defparam \vga_ctrl_inst|Equal0~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N24 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~0 ( +// Equation(s): +// \vga_ctrl_inst|cnt_h~0_combout = (\vga_ctrl_inst|Add0~10_combout & (((!\vga_ctrl_inst|Equal0~2_combout ) # (!\vga_ctrl_inst|Equal0~1_combout )) # (!\vga_ctrl_inst|Equal0~0_combout ))) + + .dataa(\vga_ctrl_inst|Add0~10_combout ), + .datab(\vga_ctrl_inst|Equal0~0_combout ), + .datac(\vga_ctrl_inst|Equal0~1_combout ), + .datad(\vga_ctrl_inst|Equal0~2_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_h~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h~0 .lut_mask = 16'h2AAA; +defparam \vga_ctrl_inst|cnt_h~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X36_Y21_N25 +dffeas \vga_ctrl_inst|cnt_h[5] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_h~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [5]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[5] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[5] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N30 +cycloneive_lcell_comb \vga_ctrl_inst|Equal0~2 ( +// Equation(s): +// \vga_ctrl_inst|Equal0~2_combout = (\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|cnt_h [5] & (\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|cnt_h [6]))) + + .dataa(\vga_ctrl_inst|cnt_h [8]), + .datab(\vga_ctrl_inst|cnt_h [5]), + .datac(\vga_ctrl_inst|cnt_h [4]), + .datad(\vga_ctrl_inst|cnt_h [6]), + .cin(gnd), + .combout(\vga_ctrl_inst|Equal0~2_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|Equal0~2 .lut_mask = 16'h0020; +defparam \vga_ctrl_inst|Equal0~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N2 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~2 ( +// Equation(s): +// \vga_ctrl_inst|cnt_h~2_combout = (\vga_ctrl_inst|Add0~16_combout & (((!\vga_ctrl_inst|Equal0~2_combout ) # (!\vga_ctrl_inst|Equal0~0_combout )) # (!\vga_ctrl_inst|Equal0~1_combout ))) + + .dataa(\vga_ctrl_inst|Equal0~1_combout ), + .datab(\vga_ctrl_inst|Add0~16_combout ), + .datac(\vga_ctrl_inst|Equal0~0_combout ), + .datad(\vga_ctrl_inst|Equal0~2_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_h~2_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h~2 .lut_mask = 16'h4CCC; +defparam \vga_ctrl_inst|cnt_h~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y20_N3 +dffeas \vga_ctrl_inst|cnt_h[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_h~2_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [8]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[8] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[8] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N0 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~1 ( +// Equation(s): +// \vga_ctrl_inst|cnt_h~1_combout = (\vga_ctrl_inst|Add0~18_combout & (((!\vga_ctrl_inst|Equal0~2_combout ) # (!\vga_ctrl_inst|Equal0~0_combout )) # (!\vga_ctrl_inst|Equal0~1_combout ))) + + .dataa(\vga_ctrl_inst|Equal0~1_combout ), + .datab(\vga_ctrl_inst|Equal0~0_combout ), + .datac(\vga_ctrl_inst|Add0~18_combout ), + .datad(\vga_ctrl_inst|Equal0~2_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_h~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h~1 .lut_mask = 16'h70F0; +defparam \vga_ctrl_inst|cnt_h~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y20_N1 +dffeas \vga_ctrl_inst|cnt_h[9] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_h~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [9]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[9] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[9] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N22 +cycloneive_lcell_comb \vga_ctrl_inst|LessThan4~0 ( +// Equation(s): +// \vga_ctrl_inst|LessThan4~0_combout = (!\vga_ctrl_inst|cnt_h [5] & (!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|cnt_h [6])) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [5]), + .datac(\vga_ctrl_inst|cnt_h [4]), + .datad(\vga_ctrl_inst|cnt_h [6]), + .cin(gnd), + .combout(\vga_ctrl_inst|LessThan4~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|LessThan4~0 .lut_mask = 16'h0003; +defparam \vga_ctrl_inst|LessThan4~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y20_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add4~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add4~0_combout = (\vga_ctrl_inst|cnt_h [8] & (((!\vga_ctrl_inst|cnt_h [7] & \vga_ctrl_inst|LessThan4~0_combout )) # (!\vga_ctrl_inst|cnt_h [9]))) # (!\vga_ctrl_inst|cnt_h [8] & ((\vga_ctrl_inst|cnt_h [9]) # +// ((\vga_ctrl_inst|cnt_h [7] & !\vga_ctrl_inst|LessThan4~0_combout )))) + + .dataa(\vga_ctrl_inst|cnt_h [8]), + .datab(\vga_ctrl_inst|cnt_h [7]), + .datac(\vga_ctrl_inst|cnt_h [9]), + .datad(\vga_ctrl_inst|LessThan4~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add4~0 .lut_mask = 16'h7A5E; +defparam \hdmi_ctrl_inst|encode_inst0|Add4~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N0 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~1 ( +// Equation(s): +// \vga_ctrl_inst|Add2~1_cout = CARRY((\vga_ctrl_inst|cnt_h [1] & \vga_ctrl_inst|cnt_h [0])) + + .dataa(\vga_ctrl_inst|cnt_h [1]), + .datab(\vga_ctrl_inst|cnt_h [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(), + .cout(\vga_ctrl_inst|Add2~1_cout )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~1 .lut_mask = 16'h0088; +defparam \vga_ctrl_inst|Add2~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N2 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~3 ( +// Equation(s): +// \vga_ctrl_inst|Add2~3_cout = CARRY((!\vga_ctrl_inst|Add2~1_cout ) # (!\vga_ctrl_inst|cnt_h [2])) + + .dataa(\vga_ctrl_inst|cnt_h [2]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~1_cout ), + .combout(), + .cout(\vga_ctrl_inst|Add2~3_cout )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~3 .lut_mask = 16'h005F; +defparam \vga_ctrl_inst|Add2~3 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N4 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~5 ( +// Equation(s): +// \vga_ctrl_inst|Add2~5_cout = CARRY((\vga_ctrl_inst|cnt_h [3] & !\vga_ctrl_inst|Add2~3_cout )) + + .dataa(\vga_ctrl_inst|cnt_h [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~3_cout ), + .combout(), + .cout(\vga_ctrl_inst|Add2~5_cout )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~5 .lut_mask = 16'h000A; +defparam \vga_ctrl_inst|Add2~5 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N6 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~7 ( +// Equation(s): +// \vga_ctrl_inst|Add2~7_cout = CARRY((!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add2~5_cout )) + + .dataa(\vga_ctrl_inst|cnt_h [4]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~5_cout ), + .combout(), + .cout(\vga_ctrl_inst|Add2~7_cout )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~7 .lut_mask = 16'h0005; +defparam \vga_ctrl_inst|Add2~7 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N8 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~9 ( +// Equation(s): +// \vga_ctrl_inst|Add2~9_cout = CARRY((\vga_ctrl_inst|cnt_h [5]) # (!\vga_ctrl_inst|Add2~7_cout )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [5]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~7_cout ), + .combout(), + .cout(\vga_ctrl_inst|Add2~9_cout )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~9 .lut_mask = 16'h00CF; +defparam \vga_ctrl_inst|Add2~9 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N10 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~10 ( +// Equation(s): +// \vga_ctrl_inst|Add2~10_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add2~9_cout & VCC)) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add2~9_cout )) +// \vga_ctrl_inst|Add2~11 = CARRY((!\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add2~9_cout )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [6]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~9_cout ), + .combout(\vga_ctrl_inst|Add2~10_combout ), + .cout(\vga_ctrl_inst|Add2~11 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~10 .lut_mask = 16'hC303; +defparam \vga_ctrl_inst|Add2~10 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N12 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~12 ( +// Equation(s): +// \vga_ctrl_inst|Add2~12_combout = (\vga_ctrl_inst|cnt_h [7] & (\vga_ctrl_inst|Add2~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add2~11 & VCC)) +// \vga_ctrl_inst|Add2~13 = CARRY((\vga_ctrl_inst|cnt_h [7] & !\vga_ctrl_inst|Add2~11 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [7]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~11 ), + .combout(\vga_ctrl_inst|Add2~12_combout ), + .cout(\vga_ctrl_inst|Add2~13 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~12 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add2~12 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N14 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~14 ( +// Equation(s): +// \vga_ctrl_inst|Add2~14_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add2~13 & VCC)) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add2~13 )) +// \vga_ctrl_inst|Add2~15 = CARRY((!\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add2~13 )) + + .dataa(\vga_ctrl_inst|cnt_h [8]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~13 ), + .combout(\vga_ctrl_inst|Add2~14_combout ), + .cout(\vga_ctrl_inst|Add2~15 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~14 .lut_mask = 16'hA505; +defparam \vga_ctrl_inst|Add2~14 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N16 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~16 ( +// Equation(s): +// \vga_ctrl_inst|Add2~16_combout = (\vga_ctrl_inst|cnt_h [9] & ((GND) # (!\vga_ctrl_inst|Add2~15 ))) # (!\vga_ctrl_inst|cnt_h [9] & (\vga_ctrl_inst|Add2~15 $ (GND))) +// \vga_ctrl_inst|Add2~17 = CARRY((\vga_ctrl_inst|cnt_h [9]) # (!\vga_ctrl_inst|Add2~15 )) + + .dataa(\vga_ctrl_inst|cnt_h [9]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~15 ), + .combout(\vga_ctrl_inst|Add2~16_combout ), + .cout(\vga_ctrl_inst|Add2~17 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~16 .lut_mask = 16'h5AAF; +defparam \vga_ctrl_inst|Add2~16 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N18 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~18 ( +// Equation(s): +// \vga_ctrl_inst|Add2~18_combout = (\vga_ctrl_inst|cnt_h [10] & (\vga_ctrl_inst|Add2~17 & VCC)) # (!\vga_ctrl_inst|cnt_h [10] & (!\vga_ctrl_inst|Add2~17 )) +// \vga_ctrl_inst|Add2~19 = CARRY((!\vga_ctrl_inst|cnt_h [10] & !\vga_ctrl_inst|Add2~17 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [10]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~17 ), + .combout(\vga_ctrl_inst|Add2~18_combout ), + .cout(\vga_ctrl_inst|Add2~19 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~18 .lut_mask = 16'hC303; +defparam \vga_ctrl_inst|Add2~18 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N0 +cycloneive_lcell_comb \vga_pic_inst|pix_data~12 ( +// Equation(s): +// \vga_pic_inst|pix_data~12_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~18_combout & (\vga_ctrl_inst|Add2~16_combout & !\vga_ctrl_inst|Add2~14_combout ))) + + .dataa(\vga_ctrl_inst|pix_data_req~7_combout ), + .datab(\vga_ctrl_inst|Add2~18_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_ctrl_inst|Add2~14_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~12_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~12 .lut_mask = 16'h0020; +defparam \vga_pic_inst|pix_data~12 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y20_N16 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~5 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~5_combout = \vga_ctrl_inst|cnt_h [8] $ (\vga_ctrl_inst|cnt_h [9]) + + .dataa(\vga_ctrl_inst|cnt_h [8]), + .datab(gnd), + .datac(\vga_ctrl_inst|cnt_h [9]), + .datad(gnd), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~5_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~5 .lut_mask = 16'h5A5A; +defparam \vga_ctrl_inst|pix_data_req~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N2 +cycloneive_lcell_comb \vga_ctrl_inst|Equal0~3 ( +// Equation(s): +// \vga_ctrl_inst|Equal0~3_combout = (\vga_ctrl_inst|Equal0~1_combout & (\vga_ctrl_inst|Equal0~0_combout & \vga_ctrl_inst|Equal0~2_combout )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|Equal0~1_combout ), + .datac(\vga_ctrl_inst|Equal0~0_combout ), + .datad(\vga_ctrl_inst|Equal0~2_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|Equal0~3_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|Equal0~3 .lut_mask = 16'hC000; +defparam \vga_ctrl_inst|Equal0~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N20 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[7]~7 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[7]~7_combout = (\vga_ctrl_inst|Add1~14_combout & (((\vga_ctrl_inst|cnt_v [7] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~14_combout & (((\vga_ctrl_inst|cnt_v [7] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|Add1~14_combout ), + .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [7]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[7]~7_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[7]~7 .lut_mask = 16'h22F2; +defparam \vga_ctrl_inst|cnt_v[7]~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N21 +dffeas \vga_ctrl_inst|cnt_v[7] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[7]~7_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [7]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[7] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[7] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N26 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[5]~10 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[5]~10_combout = (\vga_ctrl_inst|Add1~10_combout & (((!\vga_ctrl_inst|Equal0~3_combout & \vga_ctrl_inst|cnt_v [5])) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~10_combout & (!\vga_ctrl_inst|Equal0~3_combout +// & (\vga_ctrl_inst|cnt_v [5]))) + + .dataa(\vga_ctrl_inst|Add1~10_combout ), + .datab(\vga_ctrl_inst|Equal0~3_combout ), + .datac(\vga_ctrl_inst|cnt_v [5]), + .datad(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[5]~10_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[5]~10 .lut_mask = 16'h30BA; +defparam \vga_ctrl_inst|cnt_v[5]~10 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X32_Y20_N27 +dffeas \vga_ctrl_inst|cnt_v[5] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[5]~10_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [5]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[5] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[5] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N18 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[8]~6 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[8]~6_combout = (\vga_ctrl_inst|Add1~16_combout & (((\vga_ctrl_inst|cnt_v [8] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~16_combout & (((\vga_ctrl_inst|cnt_v [8] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|Add1~16_combout ), + .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [8]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[8]~6_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[8]~6 .lut_mask = 16'h22F2; +defparam \vga_ctrl_inst|cnt_v[8]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N19 +dffeas \vga_ctrl_inst|cnt_v[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[8]~6_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [8]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[8] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[8] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N28 +cycloneive_lcell_comb \vga_ctrl_inst|always1~0 ( +// Equation(s): +// \vga_ctrl_inst|always1~0_combout = (!\vga_ctrl_inst|cnt_v [6] & (!\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|cnt_v [5] & !\vga_ctrl_inst|cnt_v [8]))) + + .dataa(\vga_ctrl_inst|cnt_v [6]), + .datab(\vga_ctrl_inst|cnt_v [7]), + .datac(\vga_ctrl_inst|cnt_v [5]), + .datad(\vga_ctrl_inst|cnt_v [8]), + .cin(gnd), + .combout(\vga_ctrl_inst|always1~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|always1~0 .lut_mask = 16'h0001; +defparam \vga_ctrl_inst|always1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N8 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[1]~1 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[1]~1_combout = (\vga_ctrl_inst|Add1~2_combout & (((\vga_ctrl_inst|cnt_v [1] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~2_combout & (((\vga_ctrl_inst|cnt_v [1] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|Add1~2_combout ), + .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [1]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[1]~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[1]~1 .lut_mask = 16'h22F2; +defparam \vga_ctrl_inst|cnt_v[1]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N9 +dffeas \vga_ctrl_inst|cnt_v[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[1]~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [1]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[1] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N0 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[4]~5 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[4]~5_combout = (\vga_ctrl_inst|Add1~8_combout & (((\vga_ctrl_inst|cnt_v [4] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~8_combout & (((\vga_ctrl_inst|cnt_v [4] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|Add1~8_combout ), + .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [4]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[4]~5_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[4]~5 .lut_mask = 16'h22F2; +defparam \vga_ctrl_inst|cnt_v[4]~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N1 +dffeas \vga_ctrl_inst|cnt_v[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[4]~5_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [4]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[4] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N24 +cycloneive_lcell_comb \vga_ctrl_inst|always1~1 ( +// Equation(s): +// \vga_ctrl_inst|always1~1_combout = (\vga_ctrl_inst|pix_data_req~8_combout & (\vga_ctrl_inst|always1~0_combout & (!\vga_ctrl_inst|cnt_v [1] & !\vga_ctrl_inst|cnt_v [4]))) + + .dataa(\vga_ctrl_inst|pix_data_req~8_combout ), + .datab(\vga_ctrl_inst|always1~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [1]), + .datad(\vga_ctrl_inst|cnt_v [4]), + .cin(gnd), + .combout(\vga_ctrl_inst|always1~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|always1~1 .lut_mask = 16'h0008; +defparam \vga_ctrl_inst|always1~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N2 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~0 ( +// Equation(s): +// \vga_ctrl_inst|Add1~0_combout = \vga_ctrl_inst|cnt_v [0] $ (VCC) +// \vga_ctrl_inst|Add1~1 = CARRY(\vga_ctrl_inst|cnt_v [0]) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_v [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\vga_ctrl_inst|Add1~0_combout ), + .cout(\vga_ctrl_inst|Add1~1 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~0 .lut_mask = 16'h33CC; +defparam \vga_ctrl_inst|Add1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N0 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[0]~2 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[0]~2_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [0] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~0_combout ) # ((\vga_ctrl_inst|cnt_v [0] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datab(\vga_ctrl_inst|Add1~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [0]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[0]~2_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[0]~2 .lut_mask = 16'h44F4; +defparam \vga_ctrl_inst|cnt_v[0]~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X32_Y20_N1 +dffeas \vga_ctrl_inst|cnt_v[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[0]~2_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [0]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[0] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N2 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[3]~3 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[3]~3_combout = (\vga_ctrl_inst|Add1~6_combout & (((\vga_ctrl_inst|cnt_v [3] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~6_combout & (((\vga_ctrl_inst|cnt_v [3] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|Add1~6_combout ), + .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [3]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[3]~3_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[3]~3 .lut_mask = 16'h22F2; +defparam \vga_ctrl_inst|cnt_v[3]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N3 +dffeas \vga_ctrl_inst|cnt_v[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[3]~3_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [3]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[3] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N26 +cycloneive_lcell_comb \vga_ctrl_inst|always1~2 ( +// Equation(s): +// \vga_ctrl_inst|always1~2_combout = (\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [0] & \vga_ctrl_inst|cnt_v [3]))) + + .dataa(\vga_ctrl_inst|cnt_v [2]), + .datab(\vga_ctrl_inst|cnt_v [9]), + .datac(\vga_ctrl_inst|cnt_v [0]), + .datad(\vga_ctrl_inst|cnt_v [3]), + .cin(gnd), + .combout(\vga_ctrl_inst|always1~2_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|always1~2 .lut_mask = 16'h0800; +defparam \vga_ctrl_inst|always1~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N4 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[11]~0 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[11]~0_combout = ((\vga_ctrl_inst|always1~1_combout & \vga_ctrl_inst|always1~2_combout )) # (!\vga_ctrl_inst|Equal0~3_combout ) + + .dataa(gnd), + .datab(\vga_ctrl_inst|always1~1_combout ), + .datac(\vga_ctrl_inst|always1~2_combout ), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[11]~0 .lut_mask = 16'hC0FF; +defparam \vga_ctrl_inst|cnt_v[11]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N16 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[9]~9 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[9]~9_combout = (\vga_ctrl_inst|Add1~18_combout & (((\vga_ctrl_inst|cnt_v [9] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~18_combout & (((\vga_ctrl_inst|cnt_v [9] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|Add1~18_combout ), + .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [9]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[9]~9_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[9]~9 .lut_mask = 16'h22F2; +defparam \vga_ctrl_inst|cnt_v[9]~9 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N17 +dffeas \vga_ctrl_inst|cnt_v[9] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[9]~9_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [9]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[9] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[9] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N22 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[6]~8 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[6]~8_combout = (\vga_ctrl_inst|Add1~12_combout & (((\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~12_combout & (((\vga_ctrl_inst|cnt_v [6] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|Add1~12_combout ), + .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [6]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[6]~8_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[6]~8 .lut_mask = 16'h22F2; +defparam \vga_ctrl_inst|cnt_v[6]~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N23 +dffeas \vga_ctrl_inst|cnt_v[6] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[6]~8_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [6]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[6] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[6] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N6 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~4 ( +// Equation(s): +// \vga_ctrl_inst|Add1~4_combout = (\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|Add1~3 $ (GND))) # (!\vga_ctrl_inst|cnt_v [2] & (!\vga_ctrl_inst|Add1~3 & VCC)) +// \vga_ctrl_inst|Add1~5 = CARRY((\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|Add1~3 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_v [2]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~3 ), + .combout(\vga_ctrl_inst|Add1~4_combout ), + .cout(\vga_ctrl_inst|Add1~5 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~4 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add1~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N12 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[2]~4 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[2]~4_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~4_combout ) # ((\vga_ctrl_inst|cnt_v [2] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datab(\vga_ctrl_inst|Add1~4_combout ), + .datac(\vga_ctrl_inst|cnt_v [2]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[2]~4_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[2]~4 .lut_mask = 16'h44F4; +defparam \vga_ctrl_inst|cnt_v[2]~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N13 +dffeas \vga_ctrl_inst|cnt_v[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[2]~4_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [2]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[2] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N24 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~22 ( +// Equation(s): +// \vga_ctrl_inst|Add1~22_combout = \vga_ctrl_inst|Add1~21 $ (\vga_ctrl_inst|cnt_v [11]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\vga_ctrl_inst|cnt_v [11]), + .cin(\vga_ctrl_inst|Add1~21 ), + .combout(\vga_ctrl_inst|Add1~22_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~22 .lut_mask = 16'h0FF0; +defparam \vga_ctrl_inst|Add1~22 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N28 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[11]~11 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[11]~11_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [11] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~22_combout ) # ((\vga_ctrl_inst|cnt_v [11] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datab(\vga_ctrl_inst|Add1~22_combout ), + .datac(\vga_ctrl_inst|cnt_v [11]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[11]~11_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[11]~11 .lut_mask = 16'h44F4; +defparam \vga_ctrl_inst|cnt_v[11]~11 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X32_Y20_N29 +dffeas \vga_ctrl_inst|cnt_v[11] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[11]~11_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [11]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[11] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[11] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y20_N10 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~2 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~2_combout = (!\vga_ctrl_inst|cnt_v [10] & (!\vga_ctrl_inst|cnt_v [11] & (!\vga_ctrl_inst|cnt_h [11] & !\vga_ctrl_inst|cnt_h [10]))) + + .dataa(\vga_ctrl_inst|cnt_v [10]), + .datab(\vga_ctrl_inst|cnt_v [11]), + .datac(\vga_ctrl_inst|cnt_h [11]), + .datad(\vga_ctrl_inst|cnt_h [10]), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~2_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~2 .lut_mask = 16'h0001; +defparam \vga_ctrl_inst|pix_data_req~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y20_N30 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~4 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~4_combout = (\vga_ctrl_inst|pix_data_req~2_combout & ((\vga_ctrl_inst|always1~0_combout ) # (!\vga_ctrl_inst|cnt_v [9]))) + + .dataa(\vga_ctrl_inst|always1~0_combout ), + .datab(gnd), + .datac(\vga_ctrl_inst|cnt_v [9]), + .datad(\vga_ctrl_inst|pix_data_req~2_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~4_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~4 .lut_mask = 16'hAF00; +defparam \vga_ctrl_inst|pix_data_req~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y20_N2 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~6 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~6_combout = (\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|cnt_h [9] & ((\vga_ctrl_inst|Equal0~0_combout ) # (!\vga_ctrl_inst|LessThan4~0_combout )))) # (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Equal0~0_combout & +// (\vga_ctrl_inst|cnt_h [9] & \vga_ctrl_inst|LessThan4~0_combout ))) + + .dataa(\vga_ctrl_inst|Equal0~0_combout ), + .datab(\vga_ctrl_inst|cnt_h [7]), + .datac(\vga_ctrl_inst|cnt_h [9]), + .datad(\vga_ctrl_inst|LessThan4~0_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~6_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~6 .lut_mask = 16'h180C; +defparam \vga_ctrl_inst|pix_data_req~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y20_N28 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~7 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~7_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~4_combout & ((\vga_ctrl_inst|pix_data_req~5_combout ) # (\vga_ctrl_inst|pix_data_req~6_combout )))) + + .dataa(\vga_ctrl_inst|pix_data_req~1_combout ), + .datab(\vga_ctrl_inst|pix_data_req~5_combout ), + .datac(\vga_ctrl_inst|pix_data_req~4_combout ), + .datad(\vga_ctrl_inst|pix_data_req~6_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~7_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~7 .lut_mask = 16'hA080; +defparam \vga_ctrl_inst|pix_data_req~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N12 +cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~11 ( +// Equation(s): +// \vga_pic_inst|pix_data[13]~11_combout = ((\vga_ctrl_inst|Add2~16_combout ) # ((\vga_ctrl_inst|Add2~14_combout & \vga_ctrl_inst|Add2~12_combout ))) # (!\vga_ctrl_inst|pix_data_req~7_combout ) + + .dataa(\vga_ctrl_inst|Add2~14_combout ), + .datab(\vga_ctrl_inst|pix_data_req~7_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_ctrl_inst|Add2~12_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data[13]~11_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[13]~11 .lut_mask = 16'hFBF3; +defparam \vga_pic_inst|pix_data[13]~11 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N6 +cycloneive_lcell_comb \vga_pic_inst|always0~0 ( +// Equation(s): +// \vga_pic_inst|always0~0_combout = (\vga_ctrl_inst|Add2~16_combout ) # ((\vga_ctrl_inst|Add2~18_combout ) # ((!\vga_pic_inst|pix_data[13]~11_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout ))) + + .dataa(\vga_ctrl_inst|Add2~16_combout ), + .datab(\vga_ctrl_inst|Add2~18_combout ), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_pic_inst|pix_data[13]~11_combout ), + .cin(gnd), + .combout(\vga_pic_inst|always0~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|always0~0 .lut_mask = 16'hEFFF; +defparam \vga_pic_inst|always0~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N2 +cycloneive_lcell_comb \vga_pic_inst|pix_data~13 ( +// Equation(s): +// \vga_pic_inst|pix_data~13_combout = (\vga_pic_inst|LessThan14~0_combout & (((\vga_ctrl_inst|Add2~12_combout )) # (!\vga_pic_inst|pix_data~12_combout ))) # (!\vga_pic_inst|LessThan14~0_combout & (\vga_pic_inst|always0~0_combout & +// ((\vga_ctrl_inst|Add2~12_combout ) # (!\vga_pic_inst|pix_data~12_combout )))) + + .dataa(\vga_pic_inst|LessThan14~0_combout ), + .datab(\vga_pic_inst|pix_data~12_combout ), + .datac(\vga_ctrl_inst|Add2~12_combout ), + .datad(\vga_pic_inst|always0~0_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~13_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~13 .lut_mask = 16'hF3A2; +defparam \vga_pic_inst|pix_data~13 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N28 +cycloneive_lcell_comb \vga_pic_inst|pix_data~17 ( +// Equation(s): +// \vga_pic_inst|pix_data~17_combout = (!\vga_ctrl_inst|Add2~14_combout & (!\vga_ctrl_inst|Add2~16_combout & \vga_ctrl_inst|Add2~12_combout )) + + .dataa(\vga_ctrl_inst|Add2~14_combout ), + .datab(gnd), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_ctrl_inst|Add2~12_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~17_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~17 .lut_mask = 16'h0500; +defparam \vga_pic_inst|pix_data~17 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N10 +cycloneive_lcell_comb \vga_pic_inst|pix_data~34 ( +// Equation(s): +// \vga_pic_inst|pix_data~34_combout = ((!\vga_ctrl_inst|Add2~18_combout & (\vga_ctrl_inst|pix_data_req~7_combout & \vga_pic_inst|pix_data~17_combout ))) # (!\vga_pic_inst|pix_data~16_combout ) + + .dataa(\vga_pic_inst|pix_data~16_combout ), + .datab(\vga_ctrl_inst|Add2~18_combout ), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_pic_inst|pix_data~17_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~34_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~34 .lut_mask = 16'h7555; +defparam \vga_pic_inst|pix_data~34 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N16 +cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~8 ( +// Equation(s): +// \vga_pic_inst|pix_data[13]~8_combout = (!\vga_ctrl_inst|Add2~12_combout ) # (!\vga_ctrl_inst|Add2~14_combout ) + + .dataa(\vga_ctrl_inst|Add2~14_combout ), + .datab(gnd), + .datac(gnd), + .datad(\vga_ctrl_inst|Add2~12_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data[13]~8_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[13]~8 .lut_mask = 16'h55FF; +defparam \vga_pic_inst|pix_data[13]~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N18 +cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~9 ( +// Equation(s): +// \vga_pic_inst|pix_data[13]~9_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~18_combout & (!\vga_ctrl_inst|Add2~16_combout & \vga_pic_inst|pix_data[13]~8_combout ))) + + .dataa(\vga_ctrl_inst|pix_data_req~7_combout ), + .datab(\vga_ctrl_inst|Add2~18_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_pic_inst|pix_data[13]~8_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data[13]~9_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[13]~9 .lut_mask = 16'h0200; +defparam \vga_pic_inst|pix_data[13]~9 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N24 +cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~10 ( +// Equation(s): +// \vga_pic_inst|pix_data[13]~10_combout = (!\vga_ctrl_inst|Add2~20_combout & (\vga_ctrl_inst|pix_data_req~7_combout & \vga_pic_inst|pix_data[13]~9_combout )) + + .dataa(\vga_ctrl_inst|Add2~20_combout ), + .datab(gnd), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_pic_inst|pix_data[13]~9_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data[13]~10_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[13]~10 .lut_mask = 16'h5000; +defparam \vga_pic_inst|pix_data[13]~10 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N8 +cycloneive_lcell_comb \vga_pic_inst|pix_data~18 ( +// Equation(s): +// \vga_pic_inst|pix_data~18_combout = ((!\vga_ctrl_inst|pix_x[11]~0_combout & (!\vga_pic_inst|pix_data~13_combout & !\vga_pic_inst|pix_data[13]~10_combout ))) # (!\vga_pic_inst|pix_data~34_combout ) + + .dataa(\vga_ctrl_inst|pix_x[11]~0_combout ), + .datab(\vga_pic_inst|pix_data~13_combout ), + .datac(\vga_pic_inst|pix_data~34_combout ), + .datad(\vga_pic_inst|pix_data[13]~10_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~18_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~18 .lut_mask = 16'h0F1F; +defparam \vga_pic_inst|pix_data~18 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N9 +dffeas \vga_pic_inst|pix_data[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_pic_inst|pix_data~18_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_pic_inst|pix_data [4]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[4] .is_wysiwyg = "true"; +defparam \vga_pic_inst|pix_data[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N20 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~20 ( +// Equation(s): +// \vga_ctrl_inst|Add2~20_combout = \vga_ctrl_inst|Add2~19 $ (\vga_ctrl_inst|cnt_h [11]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\vga_ctrl_inst|cnt_h [11]), + .cin(\vga_ctrl_inst|Add2~19 ), + .combout(\vga_ctrl_inst|Add2~20_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~20 .lut_mask = 16'h0FF0; +defparam \vga_ctrl_inst|Add2~20 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N28 +cycloneive_lcell_comb \vga_pic_inst|LessThan17~4 ( +// Equation(s): +// \vga_pic_inst|LessThan17~4_combout = (!\vga_ctrl_inst|Add2~14_combout & (!\vga_ctrl_inst|Add2~10_combout & (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~12_combout ))) + + .dataa(\vga_ctrl_inst|Add2~14_combout ), + .datab(\vga_ctrl_inst|Add2~10_combout ), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_ctrl_inst|Add2~12_combout ), + .cin(gnd), + .combout(\vga_pic_inst|LessThan17~4_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|LessThan17~4 .lut_mask = 16'h0010; +defparam \vga_pic_inst|LessThan17~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N20 +cycloneive_lcell_comb \vga_pic_inst|LessThan17~3 ( +// Equation(s): +// \vga_pic_inst|LessThan17~3_combout = (!\vga_ctrl_inst|Add2~18_combout & (!\vga_ctrl_inst|Add2~20_combout & (\vga_ctrl_inst|Add2~16_combout & \vga_pic_inst|LessThan17~4_combout ))) + + .dataa(\vga_ctrl_inst|Add2~18_combout ), + .datab(\vga_ctrl_inst|Add2~20_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_pic_inst|LessThan17~4_combout ), + .cin(gnd), + .combout(\vga_pic_inst|LessThan17~3_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|LessThan17~3 .lut_mask = 16'h1000; +defparam \vga_pic_inst|LessThan17~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N14 +cycloneive_lcell_comb \vga_pic_inst|LessThan14~0 ( +// Equation(s): +// \vga_pic_inst|LessThan14~0_combout = (\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|Add2~10_combout & \vga_ctrl_inst|Add2~12_combout )) + + .dataa(\vga_ctrl_inst|Add2~14_combout ), + .datab(gnd), + .datac(\vga_ctrl_inst|Add2~10_combout ), + .datad(\vga_ctrl_inst|Add2~12_combout ), + .cin(gnd), + .combout(\vga_pic_inst|LessThan14~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|LessThan14~0 .lut_mask = 16'hA000; +defparam \vga_pic_inst|LessThan14~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N12 +cycloneive_lcell_comb \vga_pic_inst|pix_data~19 ( +// Equation(s): +// \vga_pic_inst|pix_data~19_combout = (\vga_pic_inst|LessThan17~3_combout ) # ((!\vga_ctrl_inst|pix_x[11]~0_combout & (!\vga_pic_inst|LessThan14~0_combout & !\vga_pic_inst|always0~0_combout ))) + + .dataa(\vga_ctrl_inst|pix_x[11]~0_combout ), + .datab(\vga_pic_inst|LessThan17~3_combout ), + .datac(\vga_pic_inst|LessThan14~0_combout ), + .datad(\vga_pic_inst|always0~0_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~19_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~19 .lut_mask = 16'hCCCD; +defparam \vga_pic_inst|pix_data~19 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N18 +cycloneive_lcell_comb \vga_pic_inst|pix_data~20 ( +// Equation(s): +// \vga_pic_inst|pix_data~20_combout = ((!\vga_pic_inst|pix_data[13]~10_combout & \vga_pic_inst|pix_data~19_combout )) # (!\vga_pic_inst|pix_data~34_combout ) + + .dataa(gnd), + .datab(\vga_pic_inst|pix_data[13]~10_combout ), + .datac(\vga_pic_inst|pix_data~34_combout ), + .datad(\vga_pic_inst|pix_data~19_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~20_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~20 .lut_mask = 16'h3F0F; +defparam \vga_pic_inst|pix_data~20 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N19 +dffeas \vga_pic_inst|pix_data[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_pic_inst|pix_data~20_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_pic_inst|pix_data [0]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[0] .is_wysiwyg = "true"; +defparam \vga_pic_inst|pix_data[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add6~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add6~0_combout = (\vga_ctrl_inst|pix_data_req~3_combout & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_pic_inst|pix_data [4] & \vga_pic_inst|pix_data [0]))) + + .dataa(\vga_ctrl_inst|pix_data_req~3_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .datac(\vga_pic_inst|pix_data [4]), + .datad(\vga_pic_inst|pix_data [0]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add6~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add6~0 .lut_mask = 16'h8000; +defparam \hdmi_ctrl_inst|encode_inst0|Add6~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N11 +dffeas \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|Add6~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2] + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 .lut_mask = 16'h00FF; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N21 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X35_Y22_N27 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N30 +cycloneive_lcell_comb \vga_ctrl_inst|LessThan6~0 ( +// Equation(s): +// \vga_ctrl_inst|LessThan6~0_combout = (!\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|cnt_v [2] & ((!\vga_ctrl_inst|cnt_v [1]) # (!\vga_ctrl_inst|cnt_v [0])))) + + .dataa(\vga_ctrl_inst|cnt_v [0]), + .datab(\vga_ctrl_inst|cnt_v [3]), + .datac(\vga_ctrl_inst|cnt_v [1]), + .datad(\vga_ctrl_inst|cnt_v [2]), + .cin(gnd), + .combout(\vga_ctrl_inst|LessThan6~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|LessThan6~0 .lut_mask = 16'h0013; +defparam \vga_ctrl_inst|LessThan6~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N6 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~1 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~1_combout = (\vga_ctrl_inst|LessThan6~0_combout & ((\vga_ctrl_inst|cnt_v [4] & ((!\vga_ctrl_inst|always1~0_combout ))) # (!\vga_ctrl_inst|cnt_v [4] & (!\vga_ctrl_inst|pix_data_req~0_combout )))) # +// (!\vga_ctrl_inst|LessThan6~0_combout & (((!\vga_ctrl_inst|always1~0_combout )))) + + .dataa(\vga_ctrl_inst|pix_data_req~0_combout ), + .datab(\vga_ctrl_inst|always1~0_combout ), + .datac(\vga_ctrl_inst|LessThan6~0_combout ), + .datad(\vga_ctrl_inst|cnt_v [4]), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~1 .lut_mask = 16'h3353; +defparam \vga_ctrl_inst|pix_data_req~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N28 +cycloneive_lcell_comb \vga_ctrl_inst|rgb[1]~0 ( +// Equation(s): +// \vga_ctrl_inst|rgb[1]~0_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [4] & \hdmi_ctrl_inst|encode_inst0|Add4~0_combout ))) + + .dataa(\vga_ctrl_inst|pix_data_req~4_combout ), + .datab(\vga_ctrl_inst|pix_data_req~1_combout ), + .datac(\vga_pic_inst|pix_data [4]), + .datad(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|rgb[1]~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|rgb[1]~0 .lut_mask = 16'h8000; +defparam \vga_ctrl_inst|rgb[1]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N29 +dffeas \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|rgb[1]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N30 +cycloneive_lcell_comb \vga_ctrl_inst|rgb[2]~1 ( +// Equation(s): +// \vga_ctrl_inst|rgb[2]~1_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~1_combout & \vga_pic_inst|pix_data [0]))) + + .dataa(\vga_ctrl_inst|pix_data_req~4_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .datac(\vga_ctrl_inst|pix_data_req~1_combout ), + .datad(\vga_pic_inst|pix_data [0]), + .cin(gnd), + .combout(\vga_ctrl_inst|rgb[2]~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|rgb[2]~1 .lut_mask = 16'h8000; +defparam \vga_ctrl_inst|rgb[2]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N31 +dffeas \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|rgb[2]~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add14~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]) # ((\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]) # (\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2])) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]), + .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]), + .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add14~0 .lut_mask = 16'hFFFC; +defparam \hdmi_ctrl_inst|encode_inst0|Add14~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout = !\hdmi_ctrl_inst|encode_inst0|Add14~0_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 .lut_mask = 16'h00FF; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N9 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & VCC)) +// \hdmi_ctrl_inst|encode_inst0|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [1] & \hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [1]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add19~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add19~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add19~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst0|Add19~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [2] & (!\hdmi_ctrl_inst|encode_inst0|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|Add19~1 ) # (GND))) +// \hdmi_ctrl_inst|encode_inst0|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst0|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2])) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add19~1 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add19~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add19~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add19~2 .lut_mask = 16'h5A5F; +defparam \hdmi_ctrl_inst|encode_inst0|Add19~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add19~6_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (\hdmi_ctrl_inst|encode_inst0|Add19~5 ) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst0|Add19~5 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add19~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add19~6 .lut_mask = 16'h3C3C; +defparam \hdmi_ctrl_inst|encode_inst0|Add19~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [1] & ((\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] $ (VCC))) +// \hdmi_ctrl_inst|encode_inst0|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [1]) # (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [1]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add22~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add22~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add22~0 .lut_mask = 16'h99EE; +defparam \hdmi_ctrl_inst|encode_inst0|Add22~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & (!\hdmi_ctrl_inst|encode_inst0|Add22~1 )) +// \hdmi_ctrl_inst|encode_inst0|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst0|cnt [2] & !\hdmi_ctrl_inst|encode_inst0|Add22~1 )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add22~1 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add22~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add22~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add22~2 .lut_mask = 16'hA505; +defparam \hdmi_ctrl_inst|encode_inst0|Add22~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add22~6_combout = \hdmi_ctrl_inst|encode_inst0|Add22~5 $ (!\hdmi_ctrl_inst|encode_inst0|cnt [4]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|cnt [4]), + .cin(\hdmi_ctrl_inst|encode_inst0|Add22~5 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add22~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add22~6 .lut_mask = 16'hF00F; +defparam \hdmi_ctrl_inst|encode_inst0|Add22~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst0|Add19~6_combout )) # +// (!\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst0|Add22~6_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|Add19~6_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|Add22~6_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~2 .lut_mask = 16'hFBEA; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 .lut_mask = 16'h33AA; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N17 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~9 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & +// ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add22~2_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add22~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~9_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~9 .lut_mask = 16'hAF44; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~9 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~10 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~9_combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2])) # (!\hdmi_ctrl_inst|encode_inst0|Add16~9_combout & +// ((\hdmi_ctrl_inst|encode_inst0|Add19~2_combout ))))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|Add16~9_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|Add19~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|Add16~9_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~10_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~10 .lut_mask = 16'h5FC0; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~10 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add12~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add12~0_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & ((!\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4])) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]), + .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]), + .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add12~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add12~0 .lut_mask = 16'h0CFC; +defparam \hdmi_ctrl_inst|encode_inst0|Add12~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N29 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|Add12~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add14~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4] $ (!\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]), + .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]), + .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add14~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add14~1 .lut_mask = 16'hC030; +defparam \hdmi_ctrl_inst|encode_inst0|Add14~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N19 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|Add14~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~13 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & +// ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add22~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add22~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~13_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~13 .lut_mask = 16'hAF44; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~13 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~14 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~13_combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~13_combout & +// (\hdmi_ctrl_inst|encode_inst0|Add19~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|Add16~13_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add19~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]), + .datad(\hdmi_ctrl_inst|encode_inst0|Add16~13_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~14 .lut_mask = 16'h5F88; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~14 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y22_N1 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~15 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & ((\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & +// (\hdmi_ctrl_inst|encode_inst0|Add15~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add15~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~15_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~15 .lut_mask = 16'hA088; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~15 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal2~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])))) # +// (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Equal2~0 .lut_mask = 16'h8421; +defparam \hdmi_ctrl_inst|encode_inst0|Equal2~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal2~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Equal2~1_combout = (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]), + .datad(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Equal2~1 .lut_mask = 16'h0F00; +defparam \hdmi_ctrl_inst|encode_inst0|Equal2~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ) # (\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(), + .cout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 .lut_mask = 16'h00EE; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst0|Add16~4_combout $ (\hdmi_ctrl_inst|encode_inst0|Add16~6_combout $ (!\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst0|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~4_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ) # (!\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~4_combout & +// (\hdmi_ctrl_inst|encode_inst0|Add16~6_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~4_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ), + .combout(\hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add4~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add4~1_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & \hdmi_ctrl_inst|encode_inst0|Add4~0_combout )) + + .dataa(\vga_ctrl_inst|pix_data_req~4_combout ), + .datab(gnd), + .datac(\vga_ctrl_inst|pix_data_req~1_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add4~1 .lut_mask = 16'hA000; +defparam \hdmi_ctrl_inst|encode_inst0|Add4~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N17 +dffeas \hdmi_ctrl_inst|encode_inst2|de_reg1 ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|de_reg1~q ), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|de_reg1 .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|de_reg1 .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout = \hdmi_ctrl_inst|encode_inst2|de_reg1~q + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|de_reg1~q ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N1 +dffeas \hdmi_ctrl_inst|encode_inst2|de_reg2 ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|de_reg2 .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|de_reg2 .power_up = "low"; +// synopsys translate_on + +// Location: FF_X38_Y22_N13 +dffeas \hdmi_ctrl_inst|encode_inst0|cnt[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|cnt [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|condition_2~combout = (\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout & (((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3]))) # +// (!\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]), + .datac(\hdmi_ctrl_inst|encode_inst0|cnt [3]), + .datad(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|condition_2 .lut_mask = 16'h3B0A; +defparam \hdmi_ctrl_inst|encode_inst0|condition_2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~6 .lut_mask = 16'hBFAA; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst0|Add16~1_combout $ (\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst0|Add16~2_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~1_combout ), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|Add16~2_combout ), + .cin(\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 ), + .combout(\hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 .lut_mask = 16'hA55A; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X38_Y22_N15 +dffeas \hdmi_ctrl_inst|encode_inst0|cnt[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|cnt [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & \hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))) # +// (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]) # ((!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & \hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|condition_3~0 .lut_mask = 16'h7150; +defparam \hdmi_ctrl_inst|encode_inst0|condition_3~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (((\hdmi_ctrl_inst|encode_inst0|cnt [4])))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [4] & +// ((\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [4] & (\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]), + .datac(\hdmi_ctrl_inst|encode_inst0|cnt [4]), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|condition_3~2 .lut_mask = 16'hF2C2; +defparam \hdmi_ctrl_inst|encode_inst0|condition_3~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out~1_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [1] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_reg [1]), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out~1 .lut_mask = 16'h87D2; +defparam \hdmi_ctrl_inst|encode_inst0|data_out~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~1_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out~1_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N28 +cycloneive_lcell_comb \vga_ctrl_inst|LessThan0~0 ( +// Equation(s): +// \vga_ctrl_inst|LessThan0~0_combout = (\vga_ctrl_inst|cnt_h [7]) # ((\vga_ctrl_inst|cnt_h [10]) # ((\vga_ctrl_inst|cnt_h [9]) # (\vga_ctrl_inst|cnt_h [11]))) + + .dataa(\vga_ctrl_inst|cnt_h [7]), + .datab(\vga_ctrl_inst|cnt_h [10]), + .datac(\vga_ctrl_inst|cnt_h [9]), + .datad(\vga_ctrl_inst|cnt_h [11]), + .cin(gnd), + .combout(\vga_ctrl_inst|LessThan0~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|LessThan0~0 .lut_mask = 16'hFFFE; +defparam \vga_ctrl_inst|LessThan0~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N26 +cycloneive_lcell_comb \vga_ctrl_inst|LessThan0~1 ( +// Equation(s): +// \vga_ctrl_inst|LessThan0~1_combout = (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|LessThan0~0_combout & ((!\vga_ctrl_inst|cnt_h [5]) # (!\vga_ctrl_inst|cnt_h [6])))) + + .dataa(\vga_ctrl_inst|cnt_h [8]), + .datab(\vga_ctrl_inst|cnt_h [6]), + .datac(\vga_ctrl_inst|cnt_h [5]), + .datad(\vga_ctrl_inst|LessThan0~0_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|LessThan0~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|LessThan0~1 .lut_mask = 16'h0015; +defparam \vga_ctrl_inst|LessThan0~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X38_Y20_N27 +dffeas \hdmi_ctrl_inst|encode_inst2|c0_reg1 ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|LessThan0~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|c0_reg1~q ), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|c0_reg1 .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|c0_reg1 .power_up = "low"; +// synopsys translate_on + +// Location: FF_X38_Y20_N25 +dffeas \hdmi_ctrl_inst|encode_inst2|c0_reg2 ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg1~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2 .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2 .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y22_N9 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst0|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 .lut_mask = 16'h33CC; +defparam \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N25 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [5]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out~4_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_reg [5]), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out~4 .lut_mask = 16'hC35A; +defparam \hdmi_ctrl_inst|encode_inst0|data_out~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~4_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out~4_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N29 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[5] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [5]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[5] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[5] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N14 +cycloneive_lcell_comb \vga_ctrl_inst|LessThan1~0 ( +// Equation(s): +// \vga_ctrl_inst|LessThan1~0_combout = (!\vga_ctrl_inst|cnt_v [2] & (!\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [3] & \vga_ctrl_inst|always1~1_combout ))) + + .dataa(\vga_ctrl_inst|cnt_v [2]), + .datab(\vga_ctrl_inst|cnt_v [9]), + .datac(\vga_ctrl_inst|cnt_v [3]), + .datad(\vga_ctrl_inst|always1~1_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|LessThan1~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|LessThan1~0 .lut_mask = 16'h0100; +defparam \vga_ctrl_inst|LessThan1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N15 +dffeas \hdmi_ctrl_inst|encode_inst2|c1_reg1 ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|LessThan1~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|c1_reg1~q ), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|c1_reg1 .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|c1_reg1 .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout = \hdmi_ctrl_inst|encode_inst2|c1_reg1~q + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|c1_reg1~q ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N5 +dffeas \hdmi_ctrl_inst|encode_inst2|c1_reg2 ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2 .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2 .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|c0_reg2~q $ +// (!\hdmi_ctrl_inst|encode_inst2|c1_reg2~q )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datac(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .datad(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out~7_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out~7 .lut_mask = 16'hACA3; +defparam \hdmi_ctrl_inst|encode_inst0|data_out~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N5 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[9] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out~7_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [9]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[9] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[9] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout = (\hdmi_ctrl_inst|encode_inst0|data_out [9] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_out [9]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 .lut_mask = 16'hC0C0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N1 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_out [5]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 .lut_mask = 16'hCFC0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N13 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_out [5]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 .lut_mask = 16'hCFC0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N7 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2]))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [3]), + .datab(gnd), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 .lut_mask = 16'hAFA0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N3 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [1])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_out [1]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 .lut_mask = 16'hCFC0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N25 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X39_Y22_N25 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~3 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out~3_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datac(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out~3 .lut_mask = 16'hA3A3; +defparam \hdmi_ctrl_inst|encode_inst0|data_out~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N17 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out~3_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|data_out [2]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2])) + + .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|data_out [2]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 .lut_mask = 16'hCACA; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N21 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [0])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(\hdmi_ctrl_inst|encode_inst0|data_out [0]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 .lut_mask = 16'hF3C0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N9 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] .power_up = "low"; +// synopsys translate_on + +// Location: DDIOOUTCELL_X41_Y22_N18 +cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] ( + .datainlo(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]), + .datainhi(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]), + .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clk(gnd), + .ena(vcc), + .areset(gnd), + .sreset(gnd), + .devclrn(devclrn), + .devpor(devpor), + .dataout(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .dfflo(), + .dffhi()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N16 +cycloneive_lcell_comb \vga_pic_inst|LessThan17~2 ( +// Equation(s): +// \vga_pic_inst|LessThan17~2_combout = (!\vga_ctrl_inst|Add2~12_combout & (!\vga_ctrl_inst|Add2~10_combout & \vga_ctrl_inst|pix_data_req~7_combout )) + + .dataa(\vga_ctrl_inst|Add2~12_combout ), + .datab(\vga_ctrl_inst|Add2~10_combout ), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(gnd), + .cin(gnd), + .combout(\vga_pic_inst|LessThan17~2_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|LessThan17~2 .lut_mask = 16'h1010; +defparam \vga_pic_inst|LessThan17~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N24 +cycloneive_lcell_comb \vga_pic_inst|pix_data[9]~15 ( +// Equation(s): +// \vga_pic_inst|pix_data[9]~15_combout = (\vga_pic_inst|pix_data[9]~14_combout & (!\vga_ctrl_inst|Add2~18_combout & (!\vga_ctrl_inst|Add2~16_combout & \vga_pic_inst|LessThan17~2_combout ))) + + .dataa(\vga_pic_inst|pix_data[9]~14_combout ), + .datab(\vga_ctrl_inst|Add2~18_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_pic_inst|LessThan17~2_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data[9]~15_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[9]~15 .lut_mask = 16'h0200; +defparam \vga_pic_inst|pix_data[9]~15 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N4 +cycloneive_lcell_comb \vga_pic_inst|pix_data~35 ( +// Equation(s): +// \vga_pic_inst|pix_data~35_combout = (\vga_pic_inst|LessThan10~0_combout ) # ((\vga_ctrl_inst|Add2~18_combout ) # ((\vga_pic_inst|pix_data[13]~11_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout ))) + + .dataa(\vga_pic_inst|LessThan10~0_combout ), + .datab(\vga_ctrl_inst|Add2~18_combout ), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_pic_inst|pix_data[13]~11_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~35_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~35 .lut_mask = 16'hFFEF; +defparam \vga_pic_inst|pix_data~35 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N30 +cycloneive_lcell_comb \vga_pic_inst|pix_data~36 ( +// Equation(s): +// \vga_pic_inst|pix_data~36_combout = (\vga_pic_inst|always0~2_combout & (!\vga_ctrl_inst|Add2~20_combout & (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_pic_inst|pix_data[9]~15_combout ))) + + .dataa(\vga_pic_inst|always0~2_combout ), + .datab(\vga_ctrl_inst|Add2~20_combout ), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_pic_inst|pix_data[9]~15_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~36_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~36 .lut_mask = 16'h0020; +defparam \vga_pic_inst|pix_data~36 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N6 +cycloneive_lcell_comb \vga_pic_inst|pix_data~21 ( +// Equation(s): +// \vga_pic_inst|pix_data~21_combout = (\vga_pic_inst|pix_data~12_combout & (!\vga_ctrl_inst|Add2~12_combout & ((\vga_pic_inst|LessThan14~0_combout ) # (\vga_pic_inst|always0~0_combout )))) + + .dataa(\vga_pic_inst|LessThan14~0_combout ), + .datab(\vga_pic_inst|pix_data~12_combout ), + .datac(\vga_ctrl_inst|Add2~12_combout ), + .datad(\vga_pic_inst|always0~0_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~21_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~21 .lut_mask = 16'h0C08; +defparam \vga_pic_inst|pix_data~21 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N22 +cycloneive_lcell_comb \vga_pic_inst|pix_data~26 ( +// Equation(s): +// \vga_pic_inst|pix_data~26_combout = (\vga_pic_inst|pix_data~36_combout & ((\vga_pic_inst|pix_data~25_combout ) # ((\vga_pic_inst|pix_data~35_combout & \vga_pic_inst|pix_data~21_combout )))) + + .dataa(\vga_pic_inst|pix_data~25_combout ), + .datab(\vga_pic_inst|pix_data~35_combout ), + .datac(\vga_pic_inst|pix_data~36_combout ), + .datad(\vga_pic_inst|pix_data~21_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~26_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~26 .lut_mask = 16'hE0A0; +defparam \vga_pic_inst|pix_data~26 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y23_N8 +cycloneive_lcell_comb \vga_ctrl_inst|pix_x[11]~0 ( +// Equation(s): +// \vga_ctrl_inst|pix_x[11]~0_combout = (\vga_ctrl_inst|Add2~20_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_ctrl_inst|Add2~20_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_x[11]~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_x[11]~0 .lut_mask = 16'hFF0F; +defparam \vga_ctrl_inst|pix_x[11]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N8 +cycloneive_lcell_comb \vga_pic_inst|pix_data~27 ( +// Equation(s): +// \vga_pic_inst|pix_data~27_combout = (\vga_pic_inst|pix_data~26_combout ) # ((!\vga_pic_inst|always0~2_combout & (!\vga_pic_inst|pix_data[9]~15_combout & !\vga_ctrl_inst|pix_x[11]~0_combout ))) + + .dataa(\vga_pic_inst|always0~2_combout ), + .datab(\vga_pic_inst|pix_data[9]~15_combout ), + .datac(\vga_pic_inst|pix_data~26_combout ), + .datad(\vga_ctrl_inst|pix_x[11]~0_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~27_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~27 .lut_mask = 16'hF0F1; +defparam \vga_pic_inst|pix_data~27 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X38_Y21_N9 +dffeas \vga_pic_inst|pix_data[10] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_pic_inst|pix_data~27_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_pic_inst|pix_data [10]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[10] .is_wysiwyg = "true"; +defparam \vga_pic_inst|pix_data[10] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N14 +cycloneive_lcell_comb \vga_ctrl_inst|rgb[10]~2 ( +// Equation(s): +// \vga_ctrl_inst|rgb[10]~2_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_pic_inst|pix_data [10] & \vga_ctrl_inst|pix_data_req~1_combout ))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .datab(\vga_ctrl_inst|pix_data_req~4_combout ), + .datac(\vga_pic_inst|pix_data [10]), + .datad(\vga_ctrl_inst|pix_data_req~1_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|rgb[10]~2_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|rgb[10]~2 .lut_mask = 16'h8000; +defparam \vga_ctrl_inst|rgb[10]~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N15 +dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|rgb[10]~2_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N0 +cycloneive_lcell_comb \vga_pic_inst|pix_data~29 ( +// Equation(s): +// \vga_pic_inst|pix_data~29_combout = (\vga_ctrl_inst|pix_data_req~7_combout & ((\vga_ctrl_inst|Add2~14_combout & (!\vga_ctrl_inst|Add2~10_combout & !\vga_ctrl_inst|Add2~12_combout )) # (!\vga_ctrl_inst|Add2~14_combout & +// ((\vga_ctrl_inst|Add2~12_combout ))))) + + .dataa(\vga_ctrl_inst|Add2~14_combout ), + .datab(\vga_ctrl_inst|Add2~10_combout ), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_ctrl_inst|Add2~12_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~29_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~29 .lut_mask = 16'h5020; +defparam \vga_pic_inst|pix_data~29 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N26 +cycloneive_lcell_comb \vga_pic_inst|pix_data~30 ( +// Equation(s): +// \vga_pic_inst|pix_data~30_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~20_combout & (!\vga_ctrl_inst|Add2~16_combout & !\vga_ctrl_inst|Add2~18_combout ))) + + .dataa(\vga_ctrl_inst|pix_data_req~7_combout ), + .datab(\vga_ctrl_inst|Add2~20_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_ctrl_inst|Add2~18_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~30_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~30 .lut_mask = 16'h0002; +defparam \vga_pic_inst|pix_data~30 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N4 +cycloneive_lcell_comb \vga_pic_inst|pix_data~31 ( +// Equation(s): +// \vga_pic_inst|pix_data~31_combout = (\vga_pic_inst|LessThan17~3_combout ) # ((\vga_pic_inst|pix_data~29_combout & \vga_pic_inst|pix_data~30_combout )) + + .dataa(gnd), + .datab(\vga_pic_inst|pix_data~29_combout ), + .datac(\vga_pic_inst|pix_data~30_combout ), + .datad(\vga_pic_inst|LessThan17~3_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~31_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~31 .lut_mask = 16'hFFC0; +defparam \vga_pic_inst|pix_data~31 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X38_Y21_N5 +dffeas \vga_pic_inst|pix_data[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_pic_inst|pix_data~31_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_pic_inst|pix_data [8]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[8] .is_wysiwyg = "true"; +defparam \vga_pic_inst|pix_data[8] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N4 +cycloneive_lcell_comb \vga_ctrl_inst|rgb[6]~4 ( +// Equation(s): +// \vga_ctrl_inst|rgb[6]~4_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~4_combout & \vga_pic_inst|pix_data [8]))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .datab(\vga_ctrl_inst|pix_data_req~1_combout ), + .datac(\vga_ctrl_inst|pix_data_req~4_combout ), + .datad(\vga_pic_inst|pix_data [8]), + .cin(gnd), + .combout(\vga_ctrl_inst|rgb[6]~4_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|rgb[6]~4 .lut_mask = 16'h8000; +defparam \vga_ctrl_inst|rgb[6]~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N5 +dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|rgb[6]~4_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add5~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add5~0_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~1_combout & (\vga_pic_inst|pix_data [8] & ((\vga_pic_inst|pix_data [9]) # (\vga_pic_inst|pix_data [10])))) + + .dataa(\vga_pic_inst|pix_data [9]), + .datab(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ), + .datac(\vga_pic_inst|pix_data [10]), + .datad(\vga_pic_inst|pix_data [8]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add5~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add5~0 .lut_mask = 16'hC800; +defparam \hdmi_ctrl_inst|encode_inst1|Add5~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N27 +dffeas \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|Add5~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])))) # +// (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]), + .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]), + .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add14~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add14~1 .lut_mask = 16'h6006; +defparam \hdmi_ctrl_inst|encode_inst1|Add14~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N25 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|Add14~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N2 +cycloneive_lcell_comb \vga_pic_inst|pix_data~28 ( +// Equation(s): +// \vga_pic_inst|pix_data~28_combout = (\vga_pic_inst|pix_data~36_combout & ((\vga_pic_inst|pix_data~25_combout ) # ((\vga_pic_inst|pix_data~35_combout & \vga_pic_inst|pix_data~21_combout )))) + + .dataa(\vga_pic_inst|pix_data~25_combout ), + .datab(\vga_pic_inst|pix_data~35_combout ), + .datac(\vga_pic_inst|pix_data~36_combout ), + .datad(\vga_pic_inst|pix_data~21_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~28_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~28 .lut_mask = 16'hE0A0; +defparam \vga_pic_inst|pix_data~28 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X38_Y21_N3 +dffeas \vga_pic_inst|pix_data[9] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_pic_inst|pix_data~28_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_pic_inst|pix_data [9]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[9] .is_wysiwyg = "true"; +defparam \vga_pic_inst|pix_data[9] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N8 +cycloneive_lcell_comb \vga_ctrl_inst|rgb[7]~3 ( +// Equation(s): +// \vga_ctrl_inst|rgb[7]~3_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~4_combout & \vga_pic_inst|pix_data [9]))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .datab(\vga_ctrl_inst|pix_data_req~1_combout ), + .datac(\vga_ctrl_inst|pix_data_req~4_combout ), + .datad(\vga_pic_inst|pix_data [9]), + .cin(gnd), + .combout(\vga_ctrl_inst|rgb[7]~3_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|rgb[7]~3 .lut_mask = 16'h8000; +defparam \vga_ctrl_inst|rgb[7]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N9 +dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|rgb[7]~3_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add13~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add13~0_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4])) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & +// ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))))) # (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & +// (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4])))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]), + .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add13~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add13~0 .lut_mask = 16'hF690; +defparam \hdmi_ctrl_inst|encode_inst1|Add13~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N13 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|Add13~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add13~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add13~1_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & \hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & +// ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]) # (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]), + .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add13~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add13~1 .lut_mask = 16'hF330; +defparam \hdmi_ctrl_inst|encode_inst1|Add13~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N29 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|Add13~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & !\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]))) # +// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|condition_3~0 .lut_mask = 16'h08AE; +defparam \hdmi_ctrl_inst|encode_inst1|condition_3~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & \hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]))) # +// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]) # ((!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & \hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|condition_3~1 .lut_mask = 16'h7510; +defparam \hdmi_ctrl_inst|encode_inst1|condition_3~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add14~2_combout = (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & !\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]), + .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]), + .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add14~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add14~2 .lut_mask = 16'h0001; +defparam \hdmi_ctrl_inst|encode_inst1|Add14~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N11 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|Add14~2_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X33_Y21_N7 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [0] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [0] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & VCC)) +// \hdmi_ctrl_inst|encode_inst1|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [0] & \hdmi_ctrl_inst|encode_inst1|q_m_n1 [0])) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [0]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add15~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add15~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add15~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst1|Add15~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] $ (\hdmi_ctrl_inst|encode_inst1|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst1|Add15~3 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst1|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|cnt +// [2] & !\hdmi_ctrl_inst|encode_inst1|Add15~3 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]), + .datab(\hdmi_ctrl_inst|encode_inst1|cnt [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add15~3 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add15~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add15~4 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst1|Add15~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (((!\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & !\hdmi_ctrl_inst|encode_inst1|data_in_reg [7])) # (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]))) # +// (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]) # ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]) # (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]), + .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]), + .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add14~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add14~0 .lut_mask = 16'h37FE; +defparam \hdmi_ctrl_inst|encode_inst1|Add14~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N17 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|Add14~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst1|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & +// (\hdmi_ctrl_inst|encode_inst1|Add23~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|Add23~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & +// (!\hdmi_ctrl_inst|encode_inst1|Add23~1 )))) +// \hdmi_ctrl_inst|encode_inst1|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst1|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & +// ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add23~1 )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add23~1 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add23~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add23~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add23~2 .lut_mask = 16'h694D; +defparam \hdmi_ctrl_inst|encode_inst1|Add23~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~9 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ) # (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~2_combout & ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add23~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~9_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~9 .lut_mask = 16'hAAE4; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~9 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~10 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~9_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst1|Add16~9_combout & (\hdmi_ctrl_inst|encode_inst1|Add20~2_combout & ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add20~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|Add16~9_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~10_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~10 .lut_mask = 16'hCAF0; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~10 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N23 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~15 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|Add17~0_combout )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & +// ((\hdmi_ctrl_inst|encode_inst1|Add15~0_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add17~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add15~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~15_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~15 .lut_mask = 16'hA0C0; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~15 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & (\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & +// (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & +// ((\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst1|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & +// ((!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~16_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add16~15_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ), + .combout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X36_Y21_N11 +dffeas \hdmi_ctrl_inst|encode_inst1|cnt[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|cnt [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal1~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|cnt [0] & (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & !\hdmi_ctrl_inst|encode_inst1|cnt [4]))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [1]), + .datab(\hdmi_ctrl_inst|encode_inst1|cnt [0]), + .datac(\hdmi_ctrl_inst|encode_inst1|cnt [2]), + .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Equal1~0 .lut_mask = 16'h0001; +defparam \hdmi_ctrl_inst|encode_inst1|Equal1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal1~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Equal1~1_combout = (\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout & !\hdmi_ctrl_inst|encode_inst1|cnt [3]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst1|cnt [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Equal1~1 .lut_mask = 16'h00CC; +defparam \hdmi_ctrl_inst|encode_inst1|Equal1~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ) # (\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(), + .cout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 .lut_mask = 16'h00EE; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst1|Add16~14_combout $ (\hdmi_ctrl_inst|encode_inst1|Add16~12_combout $ (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst1|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~14_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~12_combout ) # (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~14_combout & +// (\hdmi_ctrl_inst|encode_inst1|Add16~12_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~14_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add16~12_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ), + .combout(\hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & (\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & +// (!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & +// ((\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst1|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & +// ((!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~8_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add16~10_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ), + .combout(\hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X36_Y21_N15 +dffeas \hdmi_ctrl_inst|encode_inst1|cnt[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|cnt [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~3 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst1|Add20~4_combout )) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst1|Add23~4_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add20~4_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|Add23~4_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~3 .lut_mask = 16'hEE50; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~3_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~3_combout +// & (\hdmi_ctrl_inst|encode_inst1|Add17~6_combout & ((\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add17~6_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|Add16~3_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~4 .lut_mask = 16'hCAF0; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst1|Add16~6_combout $ (\hdmi_ctrl_inst|encode_inst1|Add16~4_combout $ (!\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst1|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~6_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~4_combout ) # (!\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~6_combout & +// (\hdmi_ctrl_inst|encode_inst1|Add16~4_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~6_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add16~4_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ), + .combout(\hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X36_Y21_N17 +dffeas \hdmi_ctrl_inst|encode_inst1|cnt[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|cnt [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal2~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] $ (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])))) # +// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] $ (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Equal2~0 .lut_mask = 16'h8241; +defparam \hdmi_ctrl_inst|encode_inst1|Equal2~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|condition_2~combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & +// ((\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ) # ((!\hdmi_ctrl_inst|encode_inst1|cnt [3] & \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]), + .datab(\hdmi_ctrl_inst|encode_inst1|cnt [3]), + .datac(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|condition_2 .lut_mask = 16'h7350; +defparam \hdmi_ctrl_inst|encode_inst1|condition_2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 .lut_mask = 16'h22EE; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~11 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst1|Add19~0_combout ) # (\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # +// (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst1|Add22~0_combout & ((!\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add22~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add19~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~11_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~11 .lut_mask = 16'hF0CA; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~11 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~12 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~11_combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~11_combout & +// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1])))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add16~11_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]), + .datad(\hdmi_ctrl_inst|encode_inst1|Add16~11_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~12_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~12 .lut_mask = 16'h5F22; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~12 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X36_Y21_N13 +dffeas \hdmi_ctrl_inst|encode_inst1|cnt[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|cnt [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add22~6_combout = \hdmi_ctrl_inst|encode_inst1|Add22~5 $ (!\hdmi_ctrl_inst|encode_inst1|cnt [4]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]), + .cin(\hdmi_ctrl_inst|encode_inst1|Add22~5 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add22~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add22~6 .lut_mask = 16'hF00F; +defparam \hdmi_ctrl_inst|encode_inst1|Add22~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst1|Add19~6_combout )) # +// (!\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst1|Add22~6_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add19~6_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add22~6_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~2 .lut_mask = 16'hFFAC; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst1|Add16~1_combout $ (\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst1|Add16~2_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~1_combout ), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst1|Add16~2_combout ), + .cin(\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 ), + .combout(\hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 .lut_mask = 16'hA55A; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X36_Y21_N19 +dffeas \hdmi_ctrl_inst|encode_inst1|cnt[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|cnt [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (((\hdmi_ctrl_inst|encode_inst1|cnt [4])))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst1|cnt [4] & +// (\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [4] & ((\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]), + .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|condition_3~2 .lut_mask = 16'hEE50; +defparam \hdmi_ctrl_inst|encode_inst1|condition_3~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N19 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out~1_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [3]), + .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out~1 .lut_mask = 16'hA53C; +defparam \hdmi_ctrl_inst|encode_inst1|data_out~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~1_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out~1_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N1 +dffeas \hdmi_ctrl_inst|encode_inst1|data_out[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_out [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~3 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out~3_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [5]), + .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out~3 .lut_mask = 16'h9A56; +defparam \hdmi_ctrl_inst|encode_inst1|data_out~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~3_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out~3_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N29 +dffeas \hdmi_ctrl_inst|encode_inst1|data_out[5] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_out [5]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[5] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[5] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|data_out [5]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3])) + + .dataa(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3]), + .datab(\hdmi_ctrl_inst|encode_inst1|data_out [5]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 .lut_mask = 16'hCACA; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N21 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|data_out [3]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 .lut_mask = 16'hCFC0; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N3 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|data_out [3]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 .lut_mask = 16'hCFC0; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N25 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )) + + .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out~7_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out~7 .lut_mask = 16'hAF05; +defparam \hdmi_ctrl_inst|encode_inst1|data_out~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N29 +dffeas \hdmi_ctrl_inst|encode_inst1|data_out[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|data_out~7_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_out [8]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[8] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[8] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst1|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|data_out [8]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 .lut_mask = 16'hCC00; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N27 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4]))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [2]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 .lut_mask = 16'hB8B8; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N23 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3]))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [4]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 .lut_mask = 16'hB8B8; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N19 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2]))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [2]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 .lut_mask = 16'hBB88; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N15 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [0])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1]))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [0]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 .lut_mask = 16'hB8B8; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N3 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] .power_up = "low"; +// synopsys translate_on + +// Location: DDIOOUTCELL_X41_Y23_N11 +cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] ( + .datainlo(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]), + .datainhi(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]), + .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clk(gnd), + .ena(vcc), + .areset(gnd), + .sreset(gnd), + .devclrn(devclrn), + .devpor(devpor), + .dataout(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .dfflo(), + .dffhi()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N14 +cycloneive_lcell_comb \vga_pic_inst|pix_data~33 ( +// Equation(s): +// \vga_pic_inst|pix_data~33_combout = (\vga_pic_inst|pix_data~37_combout & ((\vga_pic_inst|pix_data[13]~10_combout ) # (\vga_pic_inst|pix_data~19_combout ))) + + .dataa(\vga_pic_inst|pix_data~37_combout ), + .datab(\vga_pic_inst|pix_data[13]~10_combout ), + .datac(gnd), + .datad(\vga_pic_inst|pix_data~19_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~33_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~33 .lut_mask = 16'hAA88; +defparam \vga_pic_inst|pix_data~33 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N15 +dffeas \vga_pic_inst|pix_data[13] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_pic_inst|pix_data~33_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_pic_inst|pix_data [13]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[13] .is_wysiwyg = "true"; +defparam \vga_pic_inst|pix_data[13] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N22 +cycloneive_lcell_comb \vga_ctrl_inst|rgb[13]~6 ( +// Equation(s): +// \vga_ctrl_inst|rgb[13]~6_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [13] & \hdmi_ctrl_inst|encode_inst0|Add4~0_combout ))) + + .dataa(\vga_ctrl_inst|pix_data_req~4_combout ), + .datab(\vga_ctrl_inst|pix_data_req~1_combout ), + .datac(\vga_pic_inst|pix_data [13]), + .datad(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|rgb[13]~6_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|rgb[13]~6 .lut_mask = 16'h8000; +defparam \vga_ctrl_inst|rgb[13]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N23 +dffeas \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|rgb[13]~6_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N4 +cycloneive_lcell_comb \vga_pic_inst|pix_data~32 ( +// Equation(s): +// \vga_pic_inst|pix_data~32_combout = (\vga_pic_inst|pix_data~37_combout & (!\vga_ctrl_inst|pix_x[11]~0_combout & ((\vga_pic_inst|pix_data[13]~9_combout ) # (!\vga_pic_inst|pix_data~13_combout )))) + + .dataa(\vga_pic_inst|pix_data~37_combout ), + .datab(\vga_pic_inst|pix_data~13_combout ), + .datac(\vga_pic_inst|pix_data[13]~9_combout ), + .datad(\vga_ctrl_inst|pix_x[11]~0_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~32_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~32 .lut_mask = 16'h00A2; +defparam \vga_pic_inst|pix_data~32 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N5 +dffeas \vga_pic_inst|pix_data[15] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_pic_inst|pix_data~32_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_pic_inst|pix_data [15]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[15] .is_wysiwyg = "true"; +defparam \vga_pic_inst|pix_data[15] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add6~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add6~0_combout = (\vga_ctrl_inst|pix_data_req~3_combout & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_pic_inst|pix_data [13] & \vga_pic_inst|pix_data [15]))) + + .dataa(\vga_ctrl_inst|pix_data_req~3_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .datac(\vga_pic_inst|pix_data [13]), + .datad(\vga_pic_inst|pix_data [15]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add6~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add6~0 .lut_mask = 16'h8000; +defparam \hdmi_ctrl_inst|encode_inst2|Add6~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N27 +dffeas \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|Add6~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N20 +cycloneive_lcell_comb \vga_ctrl_inst|rgb[12]~5 ( +// Equation(s): +// \vga_ctrl_inst|rgb[12]~5_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [15] & \hdmi_ctrl_inst|encode_inst0|Add4~0_combout ))) + + .dataa(\vga_ctrl_inst|pix_data_req~4_combout ), + .datab(\vga_ctrl_inst|pix_data_req~1_combout ), + .datac(\vga_pic_inst|pix_data [15]), + .datad(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|rgb[12]~5_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|rgb[12]~5 .lut_mask = 16'h8000; +defparam \vga_ctrl_inst|rgb[12]~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N21 +dffeas \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|rgb[12]~5_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add12~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add12~0_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & (!\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2])) # (!\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & ((\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]), + .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add12~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add12~0 .lut_mask = 16'h3F0C; +defparam \hdmi_ctrl_inst|encode_inst2|Add12~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y24_N5 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|Add12~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add14~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]) # ((\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]) # (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]), + .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add14~0 .lut_mask = 16'hFFFC; +defparam \hdmi_ctrl_inst|encode_inst2|Add14~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y24_N1 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add12~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add12~1_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] & !\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add12~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add12~1 .lut_mask = 16'h00F0; +defparam \hdmi_ctrl_inst|encode_inst2|Add12~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y24_N23 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|Add12~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & !\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))) # +// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|condition_3~0 .lut_mask = 16'h20F2; +defparam \hdmi_ctrl_inst|encode_inst2|condition_3~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y24_N7 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal2~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2])))) # +// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2])))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Equal2~0 .lut_mask = 16'h9009; +defparam \hdmi_ctrl_inst|encode_inst2|Equal2~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] & VCC)) +// \hdmi_ctrl_inst|encode_inst2|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [1] & \hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) + + .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add19~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add19~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add19~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst2|Add19~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~5 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~5_combout = (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ))) # +// (!\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst2|Add22~4_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add22~4_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~5 .lut_mask = 16'h0C0A; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datac(\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~6 .lut_mask = 16'hF7F0; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal1~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst2|cnt [4] & (!\hdmi_ctrl_inst|encode_inst2|cnt [0] & (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & !\hdmi_ctrl_inst|encode_inst2|cnt [2]))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [4]), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [0]), + .datac(\hdmi_ctrl_inst|encode_inst2|cnt [1]), + .datad(\hdmi_ctrl_inst|encode_inst2|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Equal1~0 .lut_mask = 16'h0001; +defparam \hdmi_ctrl_inst|encode_inst2|Equal1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal1~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Equal1~1_combout = (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & \hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst2|cnt [3]), + .datad(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Equal1~1 .lut_mask = 16'h0F00; +defparam \hdmi_ctrl_inst|encode_inst2|Equal1~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ) # (\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(), + .cout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 .lut_mask = 16'h00EE; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & (\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & +// (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & +// ((\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst2|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & +// ((!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~16_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add16~15_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ), + .combout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y24_N3 +dffeas \hdmi_ctrl_inst|encode_inst2|cnt[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|cnt [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst2|cnt [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst2|cnt [0] & VCC)) +// \hdmi_ctrl_inst|encode_inst2|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & \hdmi_ctrl_inst|encode_inst2|cnt [0])) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add15~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add15~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add15~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst2|Add15~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~15 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst2|Add17~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] & +// ((\hdmi_ctrl_inst|encode_inst2|Add15~0_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add17~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add15~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~15_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~15 .lut_mask = 16'hAC00; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~15 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst2|Add16~12_combout $ (\hdmi_ctrl_inst|encode_inst2|Add16~14_combout $ (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst2|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~12_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ) # (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~12_combout & +// (\hdmi_ctrl_inst|encode_inst2|Add16~14_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~12_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ), + .combout(\hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & (\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & +// (!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & +// ((\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst2|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & +// ((!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~10_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add16~8_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ), + .combout(\hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst2|Add16~4_combout $ (\hdmi_ctrl_inst|encode_inst2|Add16~6_combout $ (!\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst2|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~4_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~6_combout ) # (!\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~4_combout & +// (\hdmi_ctrl_inst|encode_inst2|Add16~6_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~4_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add16~6_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ), + .combout(\hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y24_N9 +dffeas \hdmi_ctrl_inst|encode_inst2|cnt[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|cnt [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout = !\hdmi_ctrl_inst|encode_inst2|Add14~0_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 .lut_mask = 16'h00FF; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y24_N3 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|condition_2~combout = (\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout & (((\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3]))) # +// (!\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout & (\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|cnt [3]), + .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|condition_2 .lut_mask = 16'h0ACE; +defparam \hdmi_ctrl_inst|encode_inst2|condition_2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 .lut_mask = 16'h33AA; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~14 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~13_combout & (((!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~13_combout +// & (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add19~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~13_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]), + .datad(\hdmi_ctrl_inst|encode_inst2|Add19~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~14 .lut_mask = 16'h6E2A; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~14 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y24_N5 +dffeas \hdmi_ctrl_inst|encode_inst2|cnt[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|cnt [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst2|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & +// (\hdmi_ctrl_inst|encode_inst2|Add23~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|Add23~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & +// (!\hdmi_ctrl_inst|encode_inst2|Add23~1 )))) +// \hdmi_ctrl_inst|encode_inst2|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst2|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & +// ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add23~1 )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add23~1 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add23~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add23~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add23~2 .lut_mask = 16'h694D; +defparam \hdmi_ctrl_inst|encode_inst2|Add23~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst2|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & +// ((\hdmi_ctrl_inst|encode_inst2|Add20~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst2|Add20~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & +// (!\hdmi_ctrl_inst|encode_inst2|Add20~1 )))) +// \hdmi_ctrl_inst|encode_inst2|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((!\hdmi_ctrl_inst|encode_inst2|Add20~1 ) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & +// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst2|Add20~1 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add20~1 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add20~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add20~2 .lut_mask = 16'h692B; +defparam \hdmi_ctrl_inst|encode_inst2|Add20~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst2|Add23~2_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add23~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~7_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~7 .lut_mask = 16'hFA44; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~7_combout & (((\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~7_combout +// & (\hdmi_ctrl_inst|encode_inst2|Add17~4_combout & ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add17~4_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|Add16~7_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~8 .lut_mask = 16'hCAF0; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y24_N7 +dffeas \hdmi_ctrl_inst|encode_inst2|cnt[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|cnt [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[2] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X39_Y24_N9 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add17~8_combout = \hdmi_ctrl_inst|encode_inst2|Add17~7 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]), + .cin(\hdmi_ctrl_inst|encode_inst2|Add17~7 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add17~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add17~8 .lut_mask = 16'hF00F; +defparam \hdmi_ctrl_inst|encode_inst2|Add17~8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst2|Add23~5 + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst2|Add23~5 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add23~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add23~6 .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst2|Add23~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add17~8_combout ) # ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|Add23~6_combout & !\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add17~8_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|Add23~6_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~0 .lut_mask = 16'hAAD8; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst2|Add20~5 + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst2|Add20~5 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add20~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add20~6 .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst2|Add20~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add15~8_combout ) # ((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~0_combout +// & (((\hdmi_ctrl_inst|encode_inst2|Add20~6_combout & \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add15~8_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add16~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|Add20~6_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~1 .lut_mask = 16'hB8CC; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst2|Add16~2_combout $ (\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst2|Add16~1_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~2_combout ), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|Add16~1_combout ), + .cin(\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 ), + .combout(\hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 .lut_mask = 16'hA55A; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y24_N11 +dffeas \hdmi_ctrl_inst|encode_inst2|cnt[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|cnt [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [4] & (((\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ) # (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [4] & +// (\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|cnt [4]), + .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|condition_3~2 .lut_mask = 16'hF0CA; +defparam \hdmi_ctrl_inst|encode_inst2|condition_3~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y24_N21 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out~1_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [1] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [1]), + .datad(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out~1 .lut_mask = 16'hB41E; +defparam \hdmi_ctrl_inst|encode_inst2|data_out~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~1_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out~1_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N1 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 .lut_mask = 16'h0FF0; +defparam \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y24_N29 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [5]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out~4_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [5]), + .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out~4 .lut_mask = 16'h939C; +defparam \hdmi_ctrl_inst|encode_inst2|data_out~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~4_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out~4_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N17 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[5] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [5]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[5] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[5] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst2|c1_reg2~q $ +// ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .datab(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ), + .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out~7_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out~7 .lut_mask = 16'hEB41; +defparam \hdmi_ctrl_inst|encode_inst2|data_out~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N19 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[9] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out~7_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [9]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[9] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[9] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout = (\hdmi_ctrl_inst|encode_inst2|data_out [9] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_out [9]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 .lut_mask = 16'hC0C0; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N13 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_out [5]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 .lut_mask = 16'hCCF0; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N9 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_out [5]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 .lut_mask = 16'hCCF0; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N5 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2]))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [3]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 .lut_mask = 16'hB8B8; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N25 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [1])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_out [1]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 .lut_mask = 16'hCFC0; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N21 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y24_N17 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 .lut_mask = 16'h33AA; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout = !\hdmi_ctrl_inst|encode_inst2|c0_reg2~q + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N21 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[6] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [6]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[6] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[6] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst2|data_out [6]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4])) + + .dataa(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4]), + .datab(\hdmi_ctrl_inst|encode_inst2|data_out [6]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 .lut_mask = 16'hCCAA; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N7 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3]))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [4]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 .lut_mask = 16'hBB88; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N25 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2]))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [2]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 .lut_mask = 16'hBB88; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N1 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [0])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_out [0]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 .lut_mask = 16'hCFC0; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N15 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] .power_up = "low"; +// synopsys translate_on + +// Location: DDIOOUTCELL_X41_Y24_N4 +cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] ( + .datainlo(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]), + .datainhi(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]), + .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clk(gnd), + .ena(vcc), + .areset(gnd), + .sreset(gnd), + .devclrn(devclrn), + .devpor(devpor), + .dataout(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .dfflo(), + .dffhi()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true"; +// synopsys translate_on + +// Location: DDIOOUTCELL_X41_Y22_N25 +cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] ( + .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]), + .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]), + .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clk(gnd), + .ena(vcc), + .areset(gnd), + .sreset(gnd), + .devclrn(devclrn), + .devpor(devpor), + .dataout(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .dfflo(), + .dffhi()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true"; +// synopsys translate_on + +// Location: DDIOOUTCELL_X41_Y23_N18 +cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] ( + .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]), + .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]), + .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clk(gnd), + .ena(vcc), + .areset(gnd), + .sreset(gnd), + .devclrn(devclrn), + .devpor(devpor), + .dataout(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .dfflo(), + .dffhi()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true"; +// synopsys translate_on + +// Location: DDIOOUTCELL_X41_Y24_N11 +cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] ( + .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]), + .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]), + .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clk(gnd), + .ena(vcc), + .areset(gnd), + .sreset(gnd), + .devclrn(devclrn), + .devpor(devpor), + .dataout(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .dfflo(), + .dffhi()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true"; +// synopsys translate_on + +endmodule diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_85c_v_slow.sdo b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_85c_v_slow.sdo new file mode 100644 index 0000000..e7677ed --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_8_1200mv_85c_v_slow.sdo @@ -0,0 +1,9062 @@ +// Copyright (C) 1991-2013 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + + +// +// Device: Altera EP4CE15F23C8 Package FBGA484 +// + +// +// This file contains Slow Corner delays for the design using part EP4CE15F23C8, +// with speed grade 8, core voltage 1.2V, and temperature 85 Celsius +// + +// +// This SDF file should be used for ModelSim (Verilog) only +// + +(DELAYFILE + (SDFVERSION "2.1") + (DESIGN "hdmi_colorbar") + (DATE "06/02/2023 04:17:19") + (VENDOR "Altera") + (PROGRAM "Quartus II 64-Bit") + (VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version") + (DIVIDER .) + (TIMESCALE 1 ps) + + (CELL + (CELLTYPE "cycloneive_pll") + (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll1) + (DELAY + (ABSOLUTE + (PORT areset (4503:4503:4503) (4503:4503:4503)) + (PORT inclk[0] (2340:2340:2340) (2340:2340:2340)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1720:1720:1720) (1682:1682:1682)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (PORT sload (1425:1425:1425) (1434:1434:1434)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (PORT sclr (1477:1477:1477) (1540:1540:1540)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (PORT sclr (1477:1477:1477) (1540:1540:1540)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (PORT sclr (1477:1477:1477) (1540:1540:1540)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1695:1695:1695) (1667:1667:1667)) + (PORT clrn (1887:1887:1887) (1859:1859:1859)) + (PORT sload (1861:1861:1861) (1950:1950:1950)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1846:1846:1846) (1857:1857:1857)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1638:1638:1638) (1522:1522:1522)) + (PORT clrn (1891:1891:1891) (1861:1861:1861)) + (PORT sload (1745:1745:1745) (1782:1782:1782)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1846:1846:1846) (1857:1857:1857)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1909:1909:1909) (1832:1832:1832)) + (PORT clrn (1891:1891:1891) (1861:1861:1861)) + (PORT sload (1745:1745:1745) (1782:1782:1782)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1152:1152:1152) (1087:1087:1087)) + (PORT datab (658:658:658) (680:680:680)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (457:457:457) (489:489:489)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~2) + (DELAY + (ABSOLUTE + (PORT dataa (596:596:596) (653:653:653)) + (PORT datab (834:834:834) (829:829:829)) + (IOPATH dataa combout (453:453:453) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (473:473:473) (489:489:489)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~4) + (DELAY + (ABSOLUTE + (PORT dataa (575:575:575) (619:619:619)) + (IOPATH dataa combout (453:453:453) (446:446:446)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~6) + (DELAY + (ABSOLUTE + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~0) + (DELAY + (ABSOLUTE + (PORT dataa (593:593:593) (632:632:632)) + (PORT datab (364:364:364) (446:446:446)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~2) + (DELAY + (ABSOLUTE + (PORT dataa (894:894:894) (862:862:862)) + (PORT datab (648:648:648) (666:666:666)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~4) + (DELAY + (ABSOLUTE + (PORT dataa (912:912:912) (872:872:872)) + (PORT datab (615:615:615) (647:647:647)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~6) + (DELAY + (ABSOLUTE + (PORT datab (676:676:676) (689:689:689)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~8) + (DELAY + (ABSOLUTE + (PORT datab (667:667:667) (676:676:676)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1151:1151:1151) (1087:1087:1087)) + (PORT datab (660:660:660) (683:683:683)) + (IOPATH dataa combout (456:456:456) (486:486:486)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~2) + (DELAY + (ABSOLUTE + (PORT dataa (595:595:595) (651:651:651)) + (PORT datab (835:835:835) (829:829:829)) + (IOPATH dataa combout (461:461:461) (486:486:486)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (455:455:455) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~4) + (DELAY + (ABSOLUTE + (PORT dataa (573:573:573) (617:617:617)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~6) + (DELAY + (ABSOLUTE + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~0) + (DELAY + (ABSOLUTE + (PORT dataa (596:596:596) (635:635:635)) + (PORT datab (368:368:368) (450:450:450)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~2) + (DELAY + (ABSOLUTE + (PORT dataa (925:925:925) (879:879:879)) + (PORT datab (650:650:650) (669:669:669)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~4) + (DELAY + (ABSOLUTE + (PORT dataa (835:835:835) (820:820:820)) + (PORT datab (617:617:617) (649:649:649)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~6) + (DELAY + (ABSOLUTE + (PORT dataa (952:952:952) (905:905:905)) + (PORT datab (678:678:678) (691:691:691)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~8) + (DELAY + (ABSOLUTE + (PORT datab (669:669:669) (678:678:678)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~4) + (DELAY + (ABSOLUTE + (PORT dataa (387:387:387) (471:471:471)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~4) + (DELAY + (ABSOLUTE + (PORT dataa (648:648:648) (655:655:655)) + (IOPATH dataa combout (453:453:453) (446:446:446)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\]\~7) + (DELAY + (ABSOLUTE + (PORT dataa (281:281:281) (313:313:313)) + (PORT datab (278:278:278) (303:303:303)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[1\]\~9) + (DELAY + (ABSOLUTE + (PORT dataa (833:833:833) (739:739:739)) + (PORT datab (275:275:275) (299:299:299)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[2\]\~11) + (DELAY + (ABSOLUTE + (PORT dataa (486:486:486) (477:477:477)) + (PORT datab (275:275:275) (299:299:299)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1593:1593:1593) (1472:1472:1472)) + (PORT clrn (1887:1887:1887) (1859:1859:1859)) + (PORT sload (1861:1861:1861) (1950:1950:1950)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1197:1197:1197) (1138:1138:1138)) + (PORT datab (1156:1156:1156) (1099:1099:1099)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (457:457:457) (489:489:489)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~2) + (DELAY + (ABSOLUTE + (PORT dataa (1117:1117:1117) (1073:1073:1073)) + (PORT datab (1847:1847:1847) (1760:1760:1760)) + (IOPATH dataa combout (453:453:453) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (473:473:473) (489:489:489)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~4) + (DELAY + (ABSOLUTE + (PORT datab (954:954:954) (924:924:924)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~6) + (DELAY + (ABSOLUTE + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~0) + (DELAY + (ABSOLUTE + (PORT dataa (895:895:895) (878:878:878)) + (PORT datab (564:564:564) (590:590:590)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~2) + (DELAY + (ABSOLUTE + (PORT dataa (901:901:901) (889:889:889)) + (PORT datab (1145:1145:1145) (1082:1082:1082)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~4) + (DELAY + (ABSOLUTE + (PORT dataa (923:923:923) (902:902:902)) + (PORT datab (1132:1132:1132) (1072:1072:1072)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~6) + (DELAY + (ABSOLUTE + (PORT dataa (917:917:917) (895:895:895)) + (IOPATH dataa combout (461:461:461) (481:481:481)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~8) + (DELAY + (ABSOLUTE + (PORT dataa (876:876:876) (872:872:872)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1195:1195:1195) (1136:1136:1136)) + (PORT datab (1157:1157:1157) (1100:1100:1100)) + (IOPATH dataa combout (456:456:456) (486:486:486)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~4) + (DELAY + (ABSOLUTE + (PORT datab (955:955:955) (925:925:925)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~6) + (DELAY + (ABSOLUTE + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~2) + (DELAY + (ABSOLUTE + (PORT dataa (1827:1827:1827) (1698:1698:1698)) + (PORT datab (921:921:921) (895:895:895)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~6) + (DELAY + (ABSOLUTE + (PORT dataa (918:918:918) (896:896:896)) + (PORT datab (901:901:901) (878:878:878)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~8) + (DELAY + (ABSOLUTE + (PORT dataa (875:875:875) (871:871:871)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~0) + (DELAY + (ABSOLUTE + (PORT dataa (881:881:881) (872:872:872)) + (PORT datab (644:644:644) (657:657:657)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~2) + (DELAY + (ABSOLUTE + (PORT dataa (924:924:924) (906:906:906)) + (IOPATH dataa combout (461:461:461) (481:481:481)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~4) + (DELAY + (ABSOLUTE + (PORT dataa (870:870:870) (859:859:859)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~6) + (DELAY + (ABSOLUTE + (PORT datad (590:590:590) (616:616:616)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~0) + (DELAY + (ABSOLUTE + (PORT dataa (882:882:882) (873:873:873)) + (PORT datab (643:643:643) (656:656:656)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~2) + (DELAY + (ABSOLUTE + (PORT dataa (922:922:922) (904:904:904)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~4) + (DELAY + (ABSOLUTE + (PORT dataa (869:869:869) (859:859:859)) + (IOPATH dataa combout (453:453:453) (446:446:446)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1846:1846:1846) (1857:1857:1857)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1639:1639:1639) (1523:1523:1523)) + (PORT clrn (1891:1891:1891) (1861:1861:1861)) + (PORT sload (1745:1745:1745) (1782:1782:1782)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~0) + (DELAY + (ABSOLUTE + (PORT dataa (903:903:903) (913:913:913)) + (PORT datab (912:912:912) (901:901:901)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (457:457:457) (489:489:489)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~4) + (DELAY + (ABSOLUTE + (PORT datab (826:826:826) (816:816:816)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~0) + (DELAY + (ABSOLUTE + (PORT dataa (864:864:864) (829:829:829)) + (PORT datab (358:358:358) (434:434:434)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~2) + (DELAY + (ABSOLUTE + (PORT dataa (572:572:572) (609:609:609)) + (PORT datab (835:835:835) (807:807:807)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~4) + (DELAY + (ABSOLUTE + (PORT dataa (361:361:361) (445:445:445)) + (PORT datab (823:823:823) (799:799:799)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~6) + (DELAY + (ABSOLUTE + (PORT dataa (844:844:844) (829:829:829)) + (IOPATH dataa combout (461:461:461) (481:481:481)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~0) + (DELAY + (ABSOLUTE + (PORT dataa (902:902:902) (912:912:912)) + (PORT datab (908:908:908) (897:897:897)) + (IOPATH dataa combout (456:456:456) (486:486:486)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~4) + (DELAY + (ABSOLUTE + (PORT datab (823:823:823) (813:813:813)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~2) + (DELAY + (ABSOLUTE + (PORT dataa (827:827:827) (822:822:822)) + (PORT datab (368:368:368) (449:449:449)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~4) + (DELAY + (ABSOLUTE + (PORT dataa (371:371:371) (458:458:458)) + (PORT datab (870:870:870) (840:840:840)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~6) + (DELAY + (ABSOLUTE + (PORT dataa (884:884:884) (866:866:866)) + (PORT datab (360:360:360) (437:437:437)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~8) + (DELAY + (ABSOLUTE + (PORT datad (355:355:355) (432:432:432)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~2) + (DELAY + (ABSOLUTE + (PORT datab (581:581:581) (607:607:607)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~4) + (DELAY + (ABSOLUTE + (PORT dataa (573:573:573) (617:617:617)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~6) + (DELAY + (ABSOLUTE + (PORT datad (594:594:594) (617:617:617)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~0) + (DELAY + (ABSOLUTE + (PORT dataa (948:948:948) (905:905:905)) + (PORT datab (863:863:863) (851:851:851)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~2) + (DELAY + (ABSOLUTE + (PORT datab (583:583:583) (610:610:610)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~4) + (DELAY + (ABSOLUTE + (PORT dataa (576:576:576) (619:619:619)) + (IOPATH dataa combout (453:453:453) (446:446:446)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~6) + (DELAY + (ABSOLUTE + (PORT datad (596:596:596) (619:619:619)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1674:1674:1674) (1551:1551:1551)) + (PORT clrn (1893:1893:1893) (1863:1863:1863)) + (PORT sload (1763:1763:1763) (1796:1796:1796)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[6\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1578:1578:1578) (1474:1474:1474)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (PORT sload (1624:1624:1624) (1684:1684:1684)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[7\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1720:1720:1720) (1681:1681:1681)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (PORT sload (1425:1425:1425) (1434:1434:1434)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~2) + (DELAY + (ABSOLUTE + (PORT dataa (370:370:370) (460:460:460)) + (IOPATH dataa combout (461:461:461) (481:481:481)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~10) + (DELAY + (ABSOLUTE + (PORT dataa (993:993:993) (970:970:970)) + (IOPATH dataa combout (461:461:461) (481:481:481)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~2) + (DELAY + (ABSOLUTE + (PORT datab (627:627:627) (630:630:630)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~6) + (DELAY + (ABSOLUTE + (PORT dataa (585:585:585) (622:622:622)) + (IOPATH dataa combout (461:461:461) (481:481:481)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~8) + (DELAY + (ABSOLUTE + (PORT datab (629:629:629) (633:633:633)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~10) + (DELAY + (ABSOLUTE + (PORT dataa (362:362:362) (446:446:446)) + (IOPATH dataa combout (461:461:461) (481:481:481)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~12) + (DELAY + (ABSOLUTE + (PORT datab (625:625:625) (633:633:633)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~14) + (DELAY + (ABSOLUTE + (PORT dataa (571:571:571) (609:609:609)) + (IOPATH dataa combout (461:461:461) (481:481:481)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~16) + (DELAY + (ABSOLUTE + (PORT datab (624:624:624) (633:633:633)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~18) + (DELAY + (ABSOLUTE + (PORT datab (593:593:593) (620:620:620)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~20) + (DELAY + (ABSOLUTE + (PORT dataa (362:362:362) (445:445:445)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~1) + (DELAY + (ABSOLUTE + (PORT datac (296:296:296) (374:374:374)) + (PORT datad (407:407:407) (519:519:519)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1841:1841:1841) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1858:1858:1858)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~1) + (DELAY + (ABSOLUTE + (PORT dataa (597:597:597) (654:654:654)) + (PORT datab (655:655:655) (676:676:676)) + (PORT datac (534:534:534) (564:564:564)) + (PORT datad (523:523:523) (548:548:548)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal1\~0) + (DELAY + (ABSOLUTE + (PORT dataa (858:858:858) (858:858:858)) + (PORT datab (649:649:649) (667:667:667)) + (PORT datac (574:574:574) (610:610:610)) + (PORT datad (553:553:553) (583:583:583)) + (IOPATH dataa combout (456:456:456) (486:486:486)) + (IOPATH datab combout (457:457:457) (489:489:489)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1893:1893:1893) (1863:1863:1863)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~1) + (DELAY + (ABSOLUTE + (PORT dataa (903:903:903) (912:912:912)) + (PORT datab (911:911:911) (901:901:901)) + (PORT datac (899:899:899) (887:887:887)) + (PORT datad (822:822:822) (805:805:805)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1893:1893:1893) (1863:1863:1863)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~2) + (DELAY + (ABSOLUTE + (PORT datac (304:304:304) (387:387:387)) + (PORT datad (407:407:407) (519:519:519)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~0) + (DELAY + (ABSOLUTE + (PORT dataa (859:859:859) (770:770:770)) + (PORT datab (865:865:865) (826:826:826)) + (PORT datac (750:750:750) (670:670:670)) + (PORT datad (263:263:263) (281:281:281)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~1) + (DELAY + (ABSOLUTE + (PORT dataa (795:795:795) (721:721:721)) + (PORT datab (277:277:277) (302:302:302)) + (PORT datac (745:745:745) (662:662:662)) + (PORT datad (757:757:757) (701:701:701)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~3) + (DELAY + (ABSOLUTE + (PORT dataa (280:280:280) (312:312:312)) + (PORT datab (842:842:842) (796:796:796)) + (PORT datac (535:535:535) (512:512:512)) + (PORT datad (454:454:454) (434:434:434)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~4) + (DELAY + (ABSOLUTE + (PORT dataa (489:489:489) (480:480:480)) + (PORT datab (543:543:543) (503:503:503)) + (PORT datac (238:238:238) (264:264:264)) + (PORT datad (805:805:805) (758:758:758)) + (IOPATH dataa combout (404:404:404) (398:398:398)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~5) + (DELAY + (ABSOLUTE + (PORT dataa (877:877:877) (824:824:824)) + (PORT datab (866:866:866) (826:826:826)) + (PORT datac (237:237:237) (264:264:264)) + (PORT datad (470:470:470) (442:442:442)) + (IOPATH dataa combout (438:438:438) (448:448:448)) + (IOPATH datab combout (440:440:440) (462:462:462)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~7) + (DELAY + (ABSOLUTE + (PORT dataa (851:851:851) (762:762:762)) + (PORT datab (276:276:276) (300:300:300)) + (PORT datac (535:535:535) (511:511:511)) + (PORT datad (803:803:803) (756:756:756)) + (IOPATH dataa combout (404:404:404) (398:398:398)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~8) + (DELAY + (ABSOLUTE + (PORT dataa (760:760:760) (691:691:691)) + (PORT datab (530:530:530) (493:493:493)) + (PORT datac (432:432:432) (415:415:415)) + (PORT datad (516:516:516) (508:508:508)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~11) + (DELAY + (ABSOLUTE + (PORT dataa (492:492:492) (484:484:484)) + (PORT datab (276:276:276) (301:301:301)) + (PORT datac (535:535:535) (511:511:511)) + (PORT datad (803:803:803) (755:755:755)) + (IOPATH dataa combout (453:453:453) (446:446:446)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~12) + (DELAY + (ABSOLUTE + (PORT dataa (280:280:280) (313:313:313)) + (PORT datab (755:755:755) (701:701:701)) + (PORT datac (238:238:238) (264:264:264)) + (PORT datad (800:800:800) (752:752:752)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~16) + (DELAY + (ABSOLUTE + (PORT dataa (558:558:558) (561:561:561)) + (PORT datac (843:843:843) (804:804:804)) + (PORT datad (323:323:323) (393:393:393)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal1\~1) + (DELAY + (ABSOLUTE + (PORT datac (627:627:627) (653:653:653)) + (PORT datad (246:246:246) (271:271:271)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add12\~1) + (DELAY + (ABSOLUTE + (PORT datab (1351:1351:1351) (1290:1290:1290)) + (PORT datad (1269:1269:1269) (1219:1219:1219)) + (IOPATH datab combout (435:435:435) (433:433:433)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1841:1841:1841) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1858:1858:1858)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~2) + (DELAY + (ABSOLUTE + (PORT dataa (804:804:804) (800:800:800)) + (PORT datab (955:955:955) (950:950:950)) + (PORT datac (833:833:833) (778:778:778)) + (PORT datad (823:823:823) (778:778:778)) + (IOPATH dataa combout (471:471:471) (481:481:481)) + (IOPATH datab combout (393:393:393) (412:412:412)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~2) + (DELAY + (ABSOLUTE + (PORT dataa (338:338:338) (422:422:422)) + (PORT datab (338:338:338) (415:415:415)) + (PORT datac (1078:1078:1078) (1104:1104:1104)) + (IOPATH dataa combout (453:453:453) (446:446:446)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~0) + (DELAY + (ABSOLUTE + (PORT dataa (912:912:912) (859:859:859)) + (PORT datab (278:278:278) (303:303:303)) + (PORT datac (491:491:491) (465:465:465)) + (PORT datad (1139:1139:1139) (1035:1035:1035)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~1) + (DELAY + (ABSOLUTE + (PORT dataa (747:747:747) (682:682:682)) + (PORT datab (277:277:277) (302:302:302)) + (PORT datac (236:236:236) (263:263:263)) + (PORT datad (1138:1138:1138) (1034:1034:1034)) + (IOPATH dataa combout (405:405:405) (398:398:398)) + (IOPATH datab combout (407:407:407) (408:408:408)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~5) + (DELAY + (ABSOLUTE + (PORT dataa (307:307:307) (339:339:339)) + (PORT datab (740:740:740) (675:675:675)) + (PORT datac (1032:1032:1032) (927:927:927)) + (PORT datad (837:837:837) (830:830:830)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~6) + (DELAY + (ABSOLUTE + (PORT dataa (1075:1075:1075) (974:974:974)) + (PORT datab (476:476:476) (461:461:461)) + (PORT datac (235:235:235) (261:261:261)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~7) + (DELAY + (ABSOLUTE + (PORT dataa (1089:1089:1089) (992:992:992)) + (PORT datab (276:276:276) (301:301:301)) + (PORT datac (1498:1498:1498) (1433:1433:1433)) + (PORT datad (535:535:535) (510:510:510)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~8) + (DELAY + (ABSOLUTE + (PORT dataa (761:761:761) (694:694:694)) + (PORT datab (274:274:274) (299:299:299)) + (PORT datac (1046:1046:1046) (946:946:946)) + (PORT datad (855:855:855) (828:828:828)) + (IOPATH dataa combout (453:453:453) (413:413:413)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~13) + (DELAY + (ABSOLUTE + (PORT dataa (281:281:281) (313:313:313)) + (PORT datab (544:544:544) (508:508:508)) + (PORT datac (852:852:852) (812:812:812)) + (PORT datad (1139:1139:1139) (1035:1035:1035)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~14) + (DELAY + (ABSOLUTE + (PORT dataa (279:279:279) (311:311:311)) + (PORT datab (275:275:275) (299:299:299)) + (PORT datac (446:446:446) (428:428:428)) + (PORT datad (1139:1139:1139) (1035:1035:1035)) + (IOPATH dataa combout (405:405:405) (398:398:398)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~16) + (DELAY + (ABSOLUTE + (PORT datab (884:884:884) (819:819:819)) + (PORT datac (527:527:527) (559:559:559)) + (PORT datad (831:831:831) (826:826:826)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal2\~1) + (DELAY + (ABSOLUTE + (PORT dataa (495:495:495) (474:474:474)) + (PORT datad (839:839:839) (832:832:832)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT asdata (812:812:812) (920:920:920)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~2) + (DELAY + (ABSOLUTE + (PORT dataa (382:382:382) (479:479:479)) + (PORT datab (820:820:820) (746:746:746)) + (PORT datad (782:782:782) (722:722:722)) + (IOPATH dataa combout (421:421:421) (418:418:418)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~2) + (DELAY + (ABSOLUTE + (PORT dataa (281:281:281) (313:313:313)) + (PORT datab (276:276:276) (301:301:301)) + (PORT datac (825:825:825) (781:781:781)) + (PORT datad (771:771:771) (703:703:703)) + (IOPATH dataa combout (404:404:404) (398:398:398)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~3) + (DELAY + (ABSOLUTE + (PORT dataa (764:764:764) (699:699:699)) + (PORT datab (858:858:858) (804:804:804)) + (PORT datac (812:812:812) (756:756:756)) + (PORT datad (239:239:239) (258:258:258)) + (IOPATH dataa combout (453:453:453) (413:413:413)) + (IOPATH datab combout (455:455:455) (424:424:424)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~4) + (DELAY + (ABSOLUTE + (PORT dataa (828:828:828) (753:753:753)) + (PORT datab (277:277:277) (302:302:302)) + (PORT datac (236:236:236) (262:262:262)) + (PORT datad (800:800:800) (757:757:757)) + (IOPATH dataa combout (405:405:405) (398:398:398)) + (IOPATH datab combout (407:407:407) (408:408:408)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~9) + (DELAY + (ABSOLUTE + (PORT dataa (889:889:889) (829:829:829)) + (PORT datab (276:276:276) (301:301:301)) + (PORT datac (776:776:776) (757:757:757)) + (PORT datad (775:775:775) (731:731:731)) + (IOPATH dataa combout (471:471:471) (481:481:481)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~10) + (DELAY + (ABSOLUTE + (PORT dataa (280:280:280) (312:312:312)) + (PORT datab (875:875:875) (847:847:847)) + (PORT datac (444:444:444) (416:416:416)) + (PORT datad (774:774:774) (731:731:731)) + (IOPATH dataa combout (404:404:404) (398:398:398)) + (IOPATH datab combout (432:432:432) (433:433:433)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~11) + (DELAY + (ABSOLUTE + (PORT dataa (279:279:279) (310:310:310)) + (PORT datab (749:749:749) (675:675:675)) + (PORT datac (817:817:817) (761:761:761)) + (PORT datad (800:800:800) (758:758:758)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~12) + (DELAY + (ABSOLUTE + (PORT dataa (490:490:490) (467:467:467)) + (PORT datab (541:541:541) (500:500:500)) + (PORT datac (236:236:236) (262:262:262)) + (PORT datad (800:800:800) (758:758:758)) + (IOPATH dataa combout (404:404:404) (398:398:398)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~13) + (DELAY + (ABSOLUTE + (PORT dataa (890:890:890) (831:831:831)) + (PORT datab (605:605:605) (619:619:619)) + (PORT datac (237:237:237) (263:263:263)) + (PORT datad (775:775:775) (732:732:732)) + (IOPATH dataa combout (471:471:471) (481:481:481)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~16) + (DELAY + (ABSOLUTE + (PORT datab (380:380:380) (471:471:471)) + (PORT datac (816:816:816) (798:798:798)) + (PORT datad (832:832:832) (765:765:765)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal2\~1) + (DELAY + (ABSOLUTE + (PORT datab (842:842:842) (749:749:749)) + (PORT datad (546:546:546) (575:575:575)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add14\~1) + (DELAY + (ABSOLUTE + (PORT datab (989:989:989) (988:988:988)) + (PORT datac (1022:1022:1022) (1037:1037:1037)) + (PORT datad (958:958:958) (970:970:970)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1893:1893:1893) (1863:1863:1863)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~2) + (DELAY + (ABSOLUTE + (PORT dataa (383:383:383) (477:477:477)) + (PORT datab (333:333:333) (409:409:409)) + (PORT datac (772:772:772) (715:715:715)) + (PORT datad (817:817:817) (739:739:739)) + (IOPATH dataa combout (438:438:438) (448:448:448)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~3) + (DELAY + (ABSOLUTE + (PORT datab (1255:1255:1255) (1209:1209:1209)) + (PORT datac (944:944:944) (966:966:966)) + (PORT datad (755:755:755) (685:685:685)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~0) + (DELAY + (ABSOLUTE + (PORT dataa (868:868:868) (851:851:851)) + (PORT datab (366:366:366) (449:449:449)) + (PORT datac (326:326:326) (411:411:411)) + (PORT datad (328:328:328) (401:401:401)) + (IOPATH dataa combout (456:456:456) (486:486:486)) + (IOPATH datab combout (457:457:457) (489:489:489)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[10\]) + (DELAY + (ABSOLUTE + (PORT clk (1839:1839:1839) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1883:1883:1883) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~3) + (DELAY + (ABSOLUTE + (PORT dataa (765:765:765) (716:716:716)) + (PORT datab (889:889:889) (877:877:877)) + (PORT datac (753:753:753) (687:687:687)) + (PORT datad (245:245:245) (267:267:267)) + (IOPATH dataa combout (405:405:405) (398:398:398)) + (IOPATH datab combout (435:435:435) (424:424:424)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[3\]\~0) + (DELAY + (ABSOLUTE + (PORT datac (1168:1168:1168) (1142:1142:1142)) + (PORT datad (1267:1267:1267) (1216:1216:1216)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1841:1841:1841) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1858:1858:1858)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~5) + (DELAY + (ABSOLUTE + (PORT dataa (809:809:809) (806:806:806)) + (PORT datab (956:956:956) (950:950:950)) + (PORT datac (833:833:833) (778:778:778)) + (PORT datad (823:823:823) (778:778:778)) + (IOPATH dataa combout (471:471:471) (481:481:481)) + (IOPATH datab combout (393:393:393) (412:412:412)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~3) + (DELAY + (ABSOLUTE + (PORT datab (537:537:537) (565:565:565)) + (PORT datac (1078:1078:1078) (1103:1103:1103)) + (PORT datad (296:296:296) (366:366:366)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~3) + (DELAY + (ABSOLUTE + (PORT dataa (342:342:342) (426:426:426)) + (PORT datab (1095:1095:1095) (1095:1095:1095)) + (PORT datac (295:295:295) (373:373:373)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT asdata (804:804:804) (886:886:886)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~4) + (DELAY + (ABSOLUTE + (PORT dataa (379:379:379) (476:476:476)) + (PORT datab (825:825:825) (751:751:751)) + (PORT datad (775:775:775) (715:715:715)) + (IOPATH dataa combout (421:421:421) (418:418:418)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[3\]\~0) + (DELAY + (ABSOLUTE + (PORT datac (1020:1020:1020) (1034:1034:1034)) + (PORT datad (928:928:928) (938:938:938)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1893:1893:1893) (1863:1863:1863)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~5) + (DELAY + (ABSOLUTE + (PORT dataa (322:322:322) (366:366:366)) + (PORT datab (336:336:336) (412:412:412)) + (PORT datac (569:569:569) (609:609:609)) + (PORT datad (281:281:281) (305:305:305)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_x\[10\]\~1) + (DELAY + (ABSOLUTE + (PORT datac (854:854:854) (811:811:811)) + (PORT datad (522:522:522) (522:522:522)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|always0\~1) + (DELAY + (ABSOLUTE + (PORT dataa (932:932:932) (901:901:901)) + (PORT datac (1188:1188:1188) (1099:1099:1099)) + (PORT datad (929:929:929) (884:884:884)) + (IOPATH dataa combout (453:453:453) (446:446:446)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|always0\~2) + (DELAY + (ABSOLUTE + (PORT dataa (278:278:278) (310:310:310)) + (PORT datab (937:937:937) (879:879:879)) + (PORT datac (1512:1512:1512) (1404:1404:1404)) + (PORT datad (272:272:272) (294:294:294)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\[9\]\~14) + (DELAY + (ABSOLUTE + (PORT datac (1188:1188:1188) (1100:1100:1100)) + (PORT datad (889:889:889) (849:849:849)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~16) + (DELAY + (ABSOLUTE + (PORT dataa (296:296:296) (341:341:341)) + (PORT datab (292:292:292) (326:326:326)) + (PORT datac (858:858:858) (809:809:809)) + (PORT datad (856:856:856) (807:807:807)) + (IOPATH dataa combout (404:404:404) (398:398:398)) + (IOPATH datab combout (435:435:435) (424:424:424)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~8) + (DELAY + (ABSOLUTE + (PORT datab (937:937:937) (896:896:896)) + (PORT datac (854:854:854) (819:819:819)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (324:324:324) (315:315:315)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[10\]\~12) + (DELAY + (ABSOLUTE + (PORT dataa (279:279:279) (311:311:311)) + (PORT datab (1456:1456:1456) (1327:1327:1327)) + (PORT datad (565:565:565) (552:552:552)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (440:440:440) (462:462:462)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[4\]\~2) + (DELAY + (ABSOLUTE + (PORT datab (1351:1351:1351) (1290:1290:1290)) + (PORT datac (1168:1168:1168) (1143:1143:1143)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[6\]\~6) + (DELAY + (ABSOLUTE + (PORT dataa (878:878:878) (825:825:825)) + (PORT datab (955:955:955) (949:949:949)) + (PORT datad (823:823:823) (779:779:779)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (435:435:435) (433:433:433)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1887:1887:1887) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~4) + (DELAY + (ABSOLUTE + (PORT dataa (339:339:339) (423:423:423)) + (PORT datac (1078:1078:1078) (1103:1103:1103)) + (IOPATH dataa combout (453:453:453) (446:446:446)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|LessThan10\~0) + (DELAY + (ABSOLUTE + (PORT dataa (903:903:903) (865:865:865)) + (PORT datab (867:867:867) (809:809:809)) + (PORT datac (518:518:518) (527:527:527)) + (PORT datad (531:531:531) (521:521:521)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~22) + (DELAY + (ABSOLUTE + (PORT datac (855:855:855) (812:812:812)) + (PORT datad (484:484:484) (461:461:461)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~23) + (DELAY + (ABSOLUTE + (PORT dataa (573:573:573) (572:572:572)) + (PORT datab (278:278:278) (304:304:304)) + (PORT datac (519:519:519) (529:529:529)) + (PORT datad (529:529:529) (511:511:511)) + (IOPATH dataa combout (421:421:421) (418:418:418)) + (IOPATH datab combout (407:407:407) (408:408:408)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|LessThan14\~1) + (DELAY + (ABSOLUTE + (PORT dataa (602:602:602) (571:571:571)) + (PORT datad (484:484:484) (460:460:460)) + (IOPATH dataa combout (405:405:405) (398:398:398)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\[13\]\~24) + (DELAY + (ABSOLUTE + (PORT dataa (900:900:900) (862:862:862)) + (PORT datab (276:276:276) (301:301:301)) + (PORT datac (518:518:518) (527:527:527)) + (PORT datad (531:531:531) (522:522:522)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~25) + (DELAY + (ABSOLUTE + (PORT dataa (288:288:288) (327:327:327)) + (PORT datab (823:823:823) (731:731:731)) + (PORT datac (237:237:237) (264:264:264)) + (PORT datad (244:244:244) (266:266:266)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m\[5\]\~0) + (DELAY + (ABSOLUTE + (PORT datab (382:382:382) (470:470:470)) + (PORT datac (356:356:356) (475:475:475)) + (PORT datad (580:580:580) (608:608:608)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[7\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~5) + (DELAY + (ABSOLUTE + (PORT dataa (907:907:907) (862:862:862)) + (PORT datab (806:806:806) (759:759:759)) + (PORT datac (987:987:987) (856:856:856)) + (PORT datad (818:818:818) (801:801:801)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[9\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~4) + (DELAY + (ABSOLUTE + (PORT datab (338:338:338) (416:416:416)) + (PORT datac (1040:1040:1040) (1056:1056:1056)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[4\]\~2) + (DELAY + (ABSOLUTE + (PORT datab (961:961:961) (944:944:944)) + (PORT datad (921:921:921) (915:915:915)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1893:1893:1893) (1863:1863:1863)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~4) + (DELAY + (ABSOLUTE + (PORT dataa (340:340:340) (424:424:424)) + (PORT datab (1046:1046:1046) (1067:1067:1067)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH datab combout (454:454:454) (473:473:473)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~8) + (DELAY + (ABSOLUTE + (PORT dataa (1154:1154:1154) (1104:1104:1104)) + (PORT datab (898:898:898) (863:863:863)) + (PORT datac (1230:1230:1230) (1212:1212:1212)) + (IOPATH dataa combout (456:456:456) (486:486:486)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datac combout (324:324:324) (315:315:315)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m\[7\]\~1) + (DELAY + (ABSOLUTE + (PORT datab (396:396:396) (497:497:497)) + (PORT datac (348:348:348) (466:466:466)) + (PORT datad (586:586:586) (614:614:614)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~6) + (DELAY + (ABSOLUTE + (PORT dataa (638:638:638) (669:669:669)) + (PORT datab (370:370:370) (453:453:453)) + (PORT datac (1254:1254:1254) (1224:1224:1224)) + (PORT datad (264:264:264) (281:281:281)) + (IOPATH dataa combout (461:461:461) (486:486:486)) + (IOPATH datab combout (457:457:457) (489:489:489)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~8) + (DELAY + (ABSOLUTE + (PORT dataa (1004:1004:1004) (1011:1011:1011)) + (PORT datab (1256:1256:1256) (1209:1209:1209)) + (PORT datac (571:571:571) (611:611:611)) + (IOPATH dataa combout (456:456:456) (486:486:486)) + (IOPATH datab combout (457:457:457) (489:489:489)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~37) + (DELAY + (ABSOLUTE + (PORT dataa (897:897:897) (840:840:840)) + (PORT datab (567:567:567) (572:572:572)) + (PORT datac (860:860:860) (818:818:818)) + (PORT datad (245:245:245) (267:267:267)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (432:432:432) (433:433:433)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_clkctrl") + (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|wire_pll1_clk\[0\]\~clkctrl) + (DELAY + (ABSOLUTE + (PORT inclk[0] (2339:2339:2339) (2308:2308:2308)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[3\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (446:446:446) (409:409:409)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[2\]\~feeder) + (DELAY + (ABSOLUTE + (PORT dataa (1121:1121:1121) (1033:1033:1033)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[3\]\~feeder) + (DELAY + (ABSOLUTE + (PORT dataa (874:874:874) (818:818:818)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[4\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (469:469:469) (437:437:437)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[4\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datab (1177:1177:1177) (1055:1055:1055)) + (IOPATH datab combout (472:472:472) (473:473:473)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (238:238:238) (256:256:256)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[7\]\~feeder) + (DELAY + (ABSOLUTE + (PORT dataa (280:280:280) (312:312:312)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE tmds_clk_p\~output) + (DELAY + (ABSOLUTE + (IOPATH i o (2838:2838:2838) (2775:2775:2775)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE tmds_clk_n\~output) + (DELAY + (ABSOLUTE + (IOPATH i o (2838:2838:2838) (2775:2775:2775)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE tmds_data_p\[0\]\~output) + (DELAY + (ABSOLUTE + (IOPATH i o (2848:2848:2848) (2785:2785:2785)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE tmds_data_p\[1\]\~output) + (DELAY + (ABSOLUTE + (IOPATH i o (2848:2848:2848) (2785:2785:2785)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE tmds_data_p\[2\]\~output) + (DELAY + (ABSOLUTE + (IOPATH i o (2848:2848:2848) (2785:2785:2785)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE tmds_data_n\[0\]\~output) + (DELAY + (ABSOLUTE + (IOPATH i o (2838:2838:2838) (2775:2775:2775)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE tmds_data_n\[1\]\~output) + (DELAY + (ABSOLUTE + (IOPATH i o (2858:2858:2858) (2795:2795:2795)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE tmds_data_n\[2\]\~output) + (DELAY + (ABSOLUTE + (IOPATH i o (2848:2848:2848) (2785:2785:2785)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\~0) + (DELAY + (ABSOLUTE + (PORT datab (450:450:450) (567:567:567)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (462:462:462) (482:482:482)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|Add0\~1) + (DELAY + (ABSOLUTE + (PORT datad (304:304:304) (378:378:378)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT sclr (1069:1069:1069) (1226:1226:1226)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|Add0\~0) + (DELAY + (ABSOLUTE + (PORT datab (337:337:337) (414:414:414)) + (PORT datad (305:305:305) (378:378:378)) + (IOPATH datab combout (432:432:432) (433:433:433)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT sclr (1069:1069:1069) (1226:1226:1226)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~0) + (DELAY + (ABSOLUTE + (PORT dataa (339:339:339) (423:423:423)) + (PORT datad (407:407:407) (519:519:519)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[4\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (405:405:405) (517:517:517)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~3) + (DELAY + (ABSOLUTE + (PORT datab (452:452:452) (569:569:569)) + (PORT datac (298:298:298) (377:377:377)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~2) + (DELAY + (ABSOLUTE + (PORT datac (302:302:302) (385:385:385)) + (PORT datad (408:408:408) (520:520:520)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~1) + (DELAY + (ABSOLUTE + (PORT datab (339:339:339) (417:417:417)) + (PORT datad (406:406:406) (517:517:517)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~0) + (DELAY + (ABSOLUTE + (PORT datab (336:336:336) (413:413:413)) + (PORT datad (406:406:406) (518:518:518)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_ibuf") + (INSTANCE sys_clk\~input) + (DELAY + (ABSOLUTE + (IOPATH i o (806:806:806) (852:852:852)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_clkctrl") + (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|wire_pll1_clk\[1\]\~clkctrl) + (DELAY + (ABSOLUTE + (PORT inclk[0] (2339:2339:2339) (2308:2308:2308)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_latch") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi) + (DELAY + (ABSOLUTE + (PORT ENA (1793:1793:1793) (1777:1777:1777)) + (PORT D (1304:1304:1304) (1328:1328:1328)) + (IOPATH (negedge ENA) Q (234:234:234) (234:234:234)) + ) + ) + (TIMINGCHECK + (SETUP D (negedge ENA) (565:565:565)) + (HOLD D (negedge ENA) (126:126:126)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo) + (DELAY + (ABSOLUTE + (PORT clk (1793:1793:1793) (1777:1777:1777)) + (PORT d (1340:1340:1340) (1366:1366:1366)) + (IOPATH (posedge clk) q (234:234:234) (234:234:234)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (109:109:109)) + (HOLD d (posedge clk) (126:126:126)) + ) + ) + (CELL + (CELLTYPE "cycloneive_mux21") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux) + (DELAY + (ABSOLUTE + (PORT A (0:0:0) (0:0:0)) + (PORT B (0:0:0) (0:0:0)) + (PORT S (1966:1966:1966) (1972:1972:1972)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_routing_wire") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay) + (DELAY + (ABSOLUTE + (IOPATH datain dataout (548:548:548) (549:549:549)) + ) + ) + (DELAY + (PATHPULSE datain dataout (548:548:548)) + ) + ) + (CELL + (CELLTYPE "cycloneive_latch") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi) + (DELAY + (ABSOLUTE + (PORT ENA (1796:1796:1796) (1779:1779:1779)) + (PORT D (902:902:902) (941:941:941)) + (IOPATH (negedge ENA) Q (234:234:234) (234:234:234)) + ) + ) + (TIMINGCHECK + (SETUP D (negedge ENA) (565:565:565)) + (HOLD D (negedge ENA) (126:126:126)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo) + (DELAY + (ABSOLUTE + (PORT clk (1796:1796:1796) (1779:1779:1779)) + (PORT d (989:989:989) (1033:1033:1033)) + (IOPATH (posedge clk) q (234:234:234) (234:234:234)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (109:109:109)) + (HOLD d (posedge clk) (126:126:126)) + ) + ) + (CELL + (CELLTYPE "cycloneive_mux21") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux) + (DELAY + (ABSOLUTE + (PORT A (0:0:0) (0:0:0)) + (PORT B (0:0:0) (0:0:0)) + (PORT S (1969:1969:1969) (1974:1974:1974)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_routing_wire") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay) + (DELAY + (ABSOLUTE + (IOPATH datain dataout (548:548:548) (549:549:549)) + ) + ) + (DELAY + (PATHPULSE datain dataout (548:548:548)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~0) + (DELAY + (ABSOLUTE + (PORT datab (367:367:367) (448:448:448)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_ibuf") + (INSTANCE sys_rst_n\~input) + (DELAY + (ABSOLUTE + (IOPATH i o (766:766:766) (812:812:812)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll_lock_sync) + (DELAY + (ABSOLUTE + (PORT clk (1456:1456:1456) (1495:1495:1495)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (5321:5321:5321) (5126:5126:5126)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE rst_n\~0) + (DELAY + (ABSOLUTE + (PORT dataa (4410:4410:4410) (4581:4581:4581)) + (PORT datab (334:334:334) (410:410:410)) + (PORT datad (735:735:735) (769:769:769)) + (IOPATH dataa combout (421:421:421) (428:428:428)) + (IOPATH datab combout (435:435:435) (433:433:433)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_clkctrl") + (INSTANCE rst_n\~0clkctrl) + (DELAY + (ABSOLUTE + (PORT inclk[0] (1831:1831:1831) (1724:1724:1724)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~4) + (DELAY + (ABSOLUTE + (PORT dataa (370:370:370) (456:456:456)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~6) + (DELAY + (ABSOLUTE + (PORT datab (367:367:367) (450:450:450)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~8) + (DELAY + (ABSOLUTE + (PORT datab (359:359:359) (436:436:436)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~12) + (DELAY + (ABSOLUTE + (PORT datab (360:360:360) (436:436:436)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[6\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~14) + (DELAY + (ABSOLUTE + (PORT dataa (394:394:394) (484:484:484)) + (IOPATH dataa combout (461:461:461) (481:481:481)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[7\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Equal0\~0) + (DELAY + (ABSOLUTE + (PORT dataa (369:369:369) (459:459:459)) + (PORT datab (367:367:367) (449:449:449)) + (PORT datac (325:325:325) (410:410:410)) + (PORT datad (327:327:327) (404:404:404)) + (IOPATH dataa combout (392:392:392) (398:398:398)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~16) + (DELAY + (ABSOLUTE + (PORT datab (386:386:386) (464:464:464)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~18) + (DELAY + (ABSOLUTE + (PORT datab (396:396:396) (476:476:476)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~20) + (DELAY + (ABSOLUTE + (PORT datab (391:391:391) (473:473:473)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[10\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~22) + (DELAY + (ABSOLUTE + (PORT dataa (395:395:395) (484:484:484)) + (IOPATH dataa combout (471:471:471) (481:481:481)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[11\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Equal0\~1) + (DELAY + (ABSOLUTE + (PORT dataa (395:395:395) (485:485:485)) + (PORT datab (393:393:393) (476:476:476)) + (PORT datac (353:353:353) (438:438:438)) + (PORT datad (350:350:350) (427:427:427)) + (IOPATH dataa combout (392:392:392) (407:407:407)) + (IOPATH datab combout (393:393:393) (412:412:412)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_h\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1109:1109:1109) (1022:1022:1022)) + (PORT datab (928:928:928) (885:885:885)) + (PORT datac (921:921:921) (868:868:868)) + (PORT datad (1141:1141:1141) (1043:1043:1043)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (432:432:432) (433:433:433)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1851:1851:1851)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Equal0\~2) + (DELAY + (ABSOLUTE + (PORT dataa (664:664:664) (684:684:684)) + (PORT datab (1270:1270:1270) (1209:1209:1209)) + (PORT datac (583:583:583) (606:606:606)) + (PORT datad (581:581:581) (607:607:607)) + (IOPATH dataa combout (453:453:453) (413:413:413)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_h\~2) + (DELAY + (ABSOLUTE + (PORT dataa (316:316:316) (354:354:354)) + (PORT datab (279:279:279) (305:305:305)) + (PORT datac (272:272:272) (304:304:304)) + (PORT datad (764:764:764) (688:688:688)) + (IOPATH dataa combout (420:420:420) (428:428:428)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_h\~1) + (DELAY + (ABSOLUTE + (PORT dataa (316:316:316) (354:354:354)) + (PORT datab (313:313:313) (342:342:342)) + (PORT datac (239:239:239) (266:266:266)) + (PORT datad (764:764:764) (689:689:689)) + (IOPATH dataa combout (420:420:420) (428:428:428)) + (IOPATH datab combout (432:432:432) (433:433:433)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[9\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|LessThan4\~0) + (DELAY + (ABSOLUTE + (PORT datab (1268:1268:1268) (1206:1206:1206)) + (PORT datac (582:582:582) (606:606:606)) + (PORT datad (581:581:581) (606:606:606)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add4\~0) + (DELAY + (ABSOLUTE + (PORT dataa (610:610:610) (647:647:647)) + (PORT datab (671:671:671) (687:687:687)) + (PORT datac (623:623:623) (645:645:645)) + (PORT datad (745:745:745) (678:678:678)) + (IOPATH dataa combout (471:471:471) (481:481:481)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~1) + (DELAY + (ABSOLUTE + (PORT dataa (618:618:618) (627:627:627)) + (PORT datab (879:879:879) (839:839:839)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab cout (565:565:565) (421:421:421)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~3) + (DELAY + (ABSOLUTE + (PORT dataa (618:618:618) (627:627:627)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~5) + (DELAY + (ABSOLUTE + (PORT dataa (570:570:570) (596:596:596)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~7) + (DELAY + (ABSOLUTE + (PORT dataa (642:642:642) (653:653:653)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~9) + (DELAY + (ABSOLUTE + (PORT datab (1264:1264:1264) (1202:1202:1202)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~10) + (DELAY + (ABSOLUTE + (PORT datab (640:640:640) (654:654:654)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~12) + (DELAY + (ABSOLUTE + (PORT datab (583:583:583) (606:606:606)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~14) + (DELAY + (ABSOLUTE + (PORT dataa (659:659:659) (677:677:677)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~16) + (DELAY + (ABSOLUTE + (PORT dataa (650:650:650) (659:659:659)) + (IOPATH dataa combout (453:453:453) (446:446:446)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~18) + (DELAY + (ABSOLUTE + (PORT datab (645:645:645) (650:650:650)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~12) + (DELAY + (ABSOLUTE + (PORT dataa (911:911:911) (876:876:876)) + (PORT datab (569:569:569) (575:575:575)) + (PORT datac (520:520:520) (529:529:529)) + (PORT datad (529:529:529) (520:520:520)) + (IOPATH dataa combout (453:453:453) (413:413:413)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~5) + (DELAY + (ABSOLUTE + (PORT dataa (611:611:611) (648:648:648)) + (PORT datac (625:625:625) (647:647:647)) + (IOPATH dataa combout (471:471:471) (481:481:481)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Equal0\~3) + (DELAY + (ABSOLUTE + (PORT datab (970:970:970) (903:903:903)) + (PORT datac (883:883:883) (844:844:844)) + (PORT datad (1143:1143:1143) (1045:1045:1045)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[7\]\~7) + (DELAY + (ABSOLUTE + (PORT dataa (492:492:492) (473:473:473)) + (PORT datab (379:379:379) (446:446:446)) + (PORT datad (1204:1204:1204) (1128:1128:1128)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[7\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1853:1853:1853)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1857:1857:1857)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[5\]\~10) + (DELAY + (ABSOLUTE + (PORT dataa (281:281:281) (314:314:314)) + (PORT datab (1456:1456:1456) (1328:1328:1328)) + (PORT datad (564:564:564) (551:551:551)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (440:440:440) (462:462:462)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (1839:1839:1839) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1883:1883:1883) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[8\]\~6) + (DELAY + (ABSOLUTE + (PORT dataa (760:760:760) (694:694:694)) + (PORT datab (379:379:379) (445:445:445)) + (PORT datad (1205:1205:1205) (1129:1129:1129)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1853:1853:1853)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1857:1857:1857)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|always1\~0) + (DELAY + (ABSOLUTE + (PORT dataa (367:367:367) (455:455:455)) + (PORT datab (365:365:365) (447:447:447)) + (PORT datac (560:560:560) (575:575:575)) + (PORT datad (327:327:327) (400:400:400)) + (IOPATH dataa combout (456:456:456) (486:486:486)) + (IOPATH datab combout (457:457:457) (489:489:489)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[1\]\~1) + (DELAY + (ABSOLUTE + (PORT dataa (763:763:763) (715:715:715)) + (PORT datab (376:376:376) (441:441:441)) + (PORT datad (1210:1210:1210) (1134:1134:1134)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1853:1853:1853)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1857:1857:1857)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[4\]\~5) + (DELAY + (ABSOLUTE + (PORT dataa (538:538:538) (497:497:497)) + (PORT datab (375:375:375) (439:439:439)) + (PORT datad (1214:1214:1214) (1138:1138:1138)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1853:1853:1853)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1857:1857:1857)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|always1\~1) + (DELAY + (ABSOLUTE + (PORT dataa (812:812:812) (754:754:754)) + (PORT datab (310:310:310) (339:339:339)) + (PORT datac (329:329:329) (413:413:413)) + (PORT datad (330:330:330) (404:404:404)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~0) + (DELAY + (ABSOLUTE + (PORT datab (357:357:357) (433:433:433)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[0\]\~2) + (DELAY + (ABSOLUTE + (PORT dataa (628:628:628) (601:601:601)) + (PORT datab (277:277:277) (302:302:302)) + (PORT datad (1423:1423:1423) (1292:1292:1292)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1839:1839:1839) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1883:1883:1883) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[3\]\~3) + (DELAY + (ABSOLUTE + (PORT dataa (475:475:475) (462:462:462)) + (PORT datab (375:375:375) (440:440:440)) + (PORT datad (1213:1213:1213) (1137:1137:1137)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1853:1853:1853)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1857:1857:1857)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|always1\~2) + (DELAY + (ABSOLUTE + (PORT dataa (381:381:381) (475:475:475)) + (PORT datab (393:393:393) (476:476:476)) + (PORT datac (568:568:568) (594:594:594)) + (PORT datad (331:331:331) (409:409:409)) + (IOPATH dataa combout (392:392:392) (398:398:398)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[11\]\~0) + (DELAY + (ABSOLUTE + (PORT datab (287:287:287) (315:315:315)) + (PORT datac (239:239:239) (265:265:265)) + (PORT datad (1212:1212:1212) (1135:1135:1135)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[9\]\~9) + (DELAY + (ABSOLUTE + (PORT dataa (817:817:817) (737:737:737)) + (PORT datab (379:379:379) (444:444:444)) + (PORT datad (1206:1206:1206) (1129:1129:1129)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[9\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1853:1853:1853)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1857:1857:1857)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[6\]\~8) + (DELAY + (ABSOLUTE + (PORT dataa (489:489:489) (466:466:466)) + (PORT datab (380:380:380) (446:446:446)) + (PORT datad (1203:1203:1203) (1127:1127:1127)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[6\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1853:1853:1853)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1857:1857:1857)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~4) + (DELAY + (ABSOLUTE + (PORT datab (627:627:627) (631:631:631)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[2\]\~4) + (DELAY + (ABSOLUTE + (PORT dataa (532:532:532) (515:515:515)) + (PORT datab (484:484:484) (453:453:453)) + (PORT datad (1209:1209:1209) (1133:1133:1133)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1853:1853:1853)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1857:1857:1857)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~22) + (DELAY + (ABSOLUTE + (PORT datad (320:320:320) (390:390:390)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[11\]\~11) + (DELAY + (ABSOLUTE + (PORT dataa (634:634:634) (609:609:609)) + (PORT datab (276:276:276) (301:301:301)) + (PORT datad (1416:1416:1416) (1285:1285:1285)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[11\]) + (DELAY + (ABSOLUTE + (PORT clk (1839:1839:1839) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1883:1883:1883) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~2) + (DELAY + (ABSOLUTE + (PORT dataa (898:898:898) (867:867:867)) + (PORT datab (938:938:938) (897:897:897)) + (PORT datac (601:601:601) (619:619:619)) + (PORT datad (556:556:556) (578:578:578)) + (IOPATH dataa combout (456:456:456) (486:486:486)) + (IOPATH datab combout (457:457:457) (489:489:489)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~4) + (DELAY + (ABSOLUTE + (PORT dataa (791:791:791) (728:728:728)) + (PORT datac (851:851:851) (827:827:827)) + (PORT datad (247:247:247) (269:269:269)) + (IOPATH dataa combout (405:405:405) (398:398:398)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~6) + (DELAY + (ABSOLUTE + (PORT dataa (588:588:588) (554:554:554)) + (PORT datab (669:669:669) (685:685:685)) + (PORT datac (622:622:622) (644:644:644)) + (PORT datad (743:743:743) (677:677:677)) + (IOPATH dataa combout (393:393:393) (398:398:398)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~7) + (DELAY + (ABSOLUTE + (PORT dataa (767:767:767) (719:719:719)) + (PORT datab (277:277:277) (302:302:302)) + (PORT datac (261:261:261) (286:286:286)) + (PORT datad (240:240:240) (259:259:259)) + (IOPATH dataa combout (432:432:432) (446:446:446)) + (IOPATH datab combout (437:437:437) (436:436:436)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\[13\]\~11) + (DELAY + (ABSOLUTE + (PORT dataa (574:574:574) (573:573:573)) + (PORT datab (772:772:772) (714:714:714)) + (PORT datac (519:519:519) (528:528:528)) + (PORT datad (530:530:530) (512:512:512)) + (IOPATH dataa combout (392:392:392) (398:398:398)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|always0\~0) + (DELAY + (ABSOLUTE + (PORT dataa (566:566:566) (580:580:580)) + (PORT datab (568:568:568) (574:574:574)) + (PORT datac (862:862:862) (821:821:821)) + (PORT datad (246:246:246) (268:268:268)) + (IOPATH dataa combout (405:405:405) (398:398:398)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~13) + (DELAY + (ABSOLUTE + (PORT dataa (495:495:495) (490:490:490)) + (PORT datab (498:498:498) (492:492:492)) + (PORT datac (1541:1541:1541) (1408:1408:1408)) + (PORT datad (888:888:888) (834:834:834)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~17) + (DELAY + (ABSOLUTE + (PORT dataa (575:575:575) (574:574:574)) + (PORT datac (518:518:518) (527:527:527)) + (PORT datad (532:532:532) (514:514:514)) + (IOPATH dataa combout (421:421:421) (418:418:418)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~34) + (DELAY + (ABSOLUTE + (PORT dataa (898:898:898) (841:841:841)) + (PORT datab (568:568:568) (573:573:573)) + (PORT datac (861:861:861) (820:820:820)) + (PORT datad (238:238:238) (257:257:257)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (432:432:432) (433:433:433)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\[13\]\~8) + (DELAY + (ABSOLUTE + (PORT dataa (574:574:574) (573:573:573)) + (PORT datad (531:531:531) (513:513:513)) + (IOPATH dataa combout (421:421:421) (428:428:428)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\[13\]\~9) + (DELAY + (ABSOLUTE + (PORT dataa (905:905:905) (867:867:867)) + (PORT datab (566:566:566) (570:570:570)) + (PORT datac (518:518:518) (527:527:527)) + (PORT datad (237:237:237) (255:255:255)) + (IOPATH dataa combout (404:404:404) (398:398:398)) + (IOPATH datab combout (435:435:435) (424:424:424)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\[13\]\~10) + (DELAY + (ABSOLUTE + (PORT dataa (943:943:943) (877:877:877)) + (PORT datac (1395:1395:1395) (1222:1222:1222)) + (PORT datad (846:846:846) (790:790:790)) + (IOPATH dataa combout (420:420:420) (428:428:428)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~18) + (DELAY + (ABSOLUTE + (PORT dataa (884:884:884) (843:843:843)) + (PORT datab (284:284:284) (314:314:314)) + (PORT datac (839:839:839) (785:785:785)) + (PORT datad (254:254:254) (287:287:287)) + (IOPATH dataa combout (438:438:438) (448:448:448)) + (IOPATH datab combout (440:440:440) (462:462:462)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_pic_inst\|pix_data\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~20) + (DELAY + (ABSOLUTE + (PORT datad (552:552:552) (568:568:568)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|LessThan17\~4) + (DELAY + (ABSOLUTE + (PORT dataa (935:935:935) (904:904:904)) + (PORT datab (914:914:914) (863:863:863)) + (PORT datac (1184:1184:1184) (1094:1094:1094)) + (PORT datad (934:934:934) (889:889:889)) + (IOPATH dataa combout (438:438:438) (448:448:448)) + (IOPATH datab combout (440:440:440) (462:462:462)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|LessThan17\~3) + (DELAY + (ABSOLUTE + (PORT dataa (929:929:929) (865:865:865)) + (PORT datab (920:920:920) (871:871:871)) + (PORT datac (1512:1512:1512) (1404:1404:1404)) + (PORT datad (236:236:236) (255:255:255)) + (IOPATH dataa combout (393:393:393) (398:398:398)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|LessThan14\~0) + (DELAY + (ABSOLUTE + (PORT dataa (934:934:934) (903:903:903)) + (PORT datac (863:863:863) (825:825:825)) + (PORT datad (930:930:930) (885:885:885)) + (IOPATH dataa combout (405:405:405) (398:398:398)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~19) + (DELAY + (ABSOLUTE + (PORT dataa (884:884:884) (843:843:843)) + (PORT datab (557:557:557) (517:517:517)) + (PORT datac (713:713:713) (629:629:629)) + (PORT datad (886:886:886) (832:832:832)) + (IOPATH dataa combout (456:456:456) (486:486:486)) + (IOPATH datab combout (455:455:455) (473:473:473)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~20) + (DELAY + (ABSOLUTE + (PORT datab (293:293:293) (327:327:327)) + (PORT datac (839:839:839) (786:786:786)) + (PORT datad (246:246:246) (268:268:268)) + (IOPATH datab combout (432:432:432) (433:433:433)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_pic_inst\|pix_data\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add6\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1161:1161:1161) (1056:1056:1056)) + (PORT datab (875:875:875) (820:820:820)) + (PORT datac (303:303:303) (386:386:386)) + (PORT datad (305:305:305) (379:379:379)) + (IOPATH dataa combout (392:392:392) (398:398:398)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_n1\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[8\]\~0) + (DELAY + (ABSOLUTE + (PORT datad (1272:1272:1272) (1221:1221:1221)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (1841:1841:1841) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1858:1858:1858)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1841:1841:1841) (1854:1854:1854)) + (PORT asdata (1683:1683:1683) (1621:1621:1621)) + (PORT clrn (1885:1885:1885) (1858:1858:1858)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|LessThan6\~0) + (DELAY + (ABSOLUTE + (PORT dataa (629:629:629) (641:641:641)) + (PORT datab (371:371:371) (451:451:451)) + (PORT datac (329:329:329) (412:412:412)) + (PORT datad (338:338:338) (422:422:422)) + (IOPATH dataa combout (438:438:438) (448:448:448)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~1) + (DELAY + (ABSOLUTE + (PORT dataa (278:278:278) (310:310:310)) + (PORT datab (312:312:312) (342:342:342)) + (PORT datac (238:238:238) (264:264:264)) + (PORT datad (326:326:326) (399:399:399)) + (IOPATH dataa combout (461:461:461) (481:481:481)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|rgb\[1\]\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1263:1263:1263) (1171:1171:1171)) + (PORT datab (1498:1498:1498) (1358:1358:1358)) + (PORT datac (305:305:305) (388:388:388)) + (PORT datad (812:812:812) (770:770:770)) + (IOPATH dataa combout (392:392:392) (398:398:398)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_reg\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|rgb\[2\]\~1) + (DELAY + (ABSOLUTE + (PORT dataa (1264:1264:1264) (1172:1172:1172)) + (PORT datab (873:873:873) (818:818:818)) + (PORT datac (1455:1455:1455) (1319:1319:1319)) + (PORT datad (304:304:304) (378:378:378)) + (IOPATH dataa combout (392:392:392) (398:398:398)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_reg\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add14\~0) + (DELAY + (ABSOLUTE + (PORT datab (1349:1349:1349) (1288:1288:1288)) + (PORT datac (1169:1169:1169) (1143:1143:1143)) + (PORT datad (1270:1270:1270) (1219:1219:1219)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[3\]\~0) + (DELAY + (ABSOLUTE + (PORT datad (239:239:239) (257:257:257)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1841:1841:1841) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1858:1858:1858)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~0) + (DELAY + (ABSOLUTE + (PORT dataa (574:574:574) (613:613:613)) + (PORT datab (954:954:954) (909:909:909)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~2) + (DELAY + (ABSOLUTE + (PORT dataa (386:386:386) (470:470:470)) + (IOPATH dataa combout (461:461:461) (481:481:481)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~6) + (DELAY + (ABSOLUTE + (PORT datab (386:386:386) (463:463:463)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~0) + (DELAY + (ABSOLUTE + (PORT dataa (556:556:556) (592:592:592)) + (PORT datab (955:955:955) (950:950:950)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~2) + (DELAY + (ABSOLUTE + (PORT dataa (644:644:644) (650:650:650)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~6) + (DELAY + (ABSOLUTE + (PORT datad (565:565:565) (579:579:579)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~2) + (DELAY + (ABSOLUTE + (PORT dataa (557:557:557) (559:559:559)) + (PORT datab (502:502:502) (484:484:484)) + (PORT datac (236:236:236) (263:263:263)) + (PORT datad (454:454:454) (434:434:434)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (455:455:455) (412:412:412)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[0\]\~0) + (DELAY + (ABSOLUTE + (PORT dataa (876:876:876) (823:823:823)) + (PORT datab (950:950:950) (944:944:944)) + (PORT datad (822:822:822) (778:778:778)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (435:435:435) (433:433:433)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1841:1841:1841) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1858:1858:1858)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~9) + (DELAY + (ABSOLUTE + (PORT dataa (544:544:544) (530:530:530)) + (PORT datab (279:279:279) (304:304:304)) + (PORT datac (838:838:838) (805:805:805)) + (PORT datad (822:822:822) (778:778:778)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~10) + (DELAY + (ABSOLUTE + (PORT dataa (824:824:824) (813:813:813)) + (PORT datab (479:479:479) (462:462:462)) + (PORT datac (816:816:816) (749:749:749)) + (PORT datad (486:486:486) (455:455:455)) + (IOPATH dataa combout (420:420:420) (428:428:428)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add12\~0) + (DELAY + (ABSOLUTE + (PORT datab (1349:1349:1349) (1287:1287:1287)) + (PORT datac (1170:1170:1170) (1145:1145:1145)) + (PORT datad (1274:1274:1274) (1223:1223:1223)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1841:1841:1841) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1858:1858:1858)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add14\~1) + (DELAY + (ABSOLUTE + (PORT datab (1350:1350:1350) (1288:1288:1288)) + (PORT datac (1169:1169:1169) (1144:1144:1144)) + (PORT datad (1271:1271:1271) (1221:1221:1221)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1841:1841:1841) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1858:1858:1858)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~13) + (DELAY + (ABSOLUTE + (PORT dataa (544:544:544) (530:530:530)) + (PORT datab (280:280:280) (305:305:305)) + (PORT datac (882:882:882) (850:850:850)) + (PORT datad (822:822:822) (778:778:778)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~14) + (DELAY + (ABSOLUTE + (PORT dataa (858:858:858) (795:795:795)) + (PORT datab (278:278:278) (304:304:304)) + (PORT datac (793:793:793) (770:770:770)) + (PORT datad (453:453:453) (429:429:429)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1854:1854:1854)) + (PORT asdata (1588:1588:1588) (1540:1540:1540)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~15) + (DELAY + (ABSOLUTE + (PORT dataa (558:558:558) (560:560:560)) + (PORT datab (530:530:530) (493:493:493)) + (PORT datac (477:477:477) (446:446:446)) + (PORT datad (896:896:896) (862:862:862)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal2\~0) + (DELAY + (ABSOLUTE + (PORT dataa (597:597:597) (654:654:654)) + (PORT datab (654:654:654) (676:676:676)) + (PORT datac (534:534:534) (564:564:564)) + (PORT datad (523:523:523) (548:548:548)) + (IOPATH dataa combout (456:456:456) (486:486:486)) + (IOPATH datab combout (473:473:473) (489:489:489)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal2\~1) + (DELAY + (ABSOLUTE + (PORT datac (866:866:866) (839:839:839)) + (PORT datad (477:477:477) (451:451:451)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\]\~6) + (DELAY + (ABSOLUTE + (PORT dataa (537:537:537) (496:496:496)) + (PORT datab (475:475:475) (459:459:459)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab cout (565:565:565) (421:421:421)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[3\]\~13) + (DELAY + (ABSOLUTE + (PORT dataa (806:806:806) (728:728:728)) + (PORT datab (541:541:541) (504:504:504)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add4\~1) + (DELAY + (ABSOLUTE + (PORT dataa (1259:1259:1259) (1166:1166:1166)) + (PORT datac (1452:1452:1452) (1315:1315:1315)) + (PORT datad (812:812:812) (771:771:771)) + (IOPATH dataa combout (405:405:405) (398:398:398)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg1) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg2\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (297:297:297) (368:368:368)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg2) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (PORT sclr (1477:1477:1477) (1540:1540:1540)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_2) + (DELAY + (ABSOLUTE + (PORT dataa (287:287:287) (322:322:322)) + (PORT datab (903:903:903) (872:872:872)) + (PORT datac (626:626:626) (652:652:652)) + (PORT datad (479:479:479) (453:453:453)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (432:432:432) (433:433:433)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~6) + (DELAY + (ABSOLUTE + (PORT dataa (280:280:280) (312:312:312)) + (PORT datab (955:955:955) (950:950:950)) + (PORT datac (799:799:799) (791:791:791)) + (PORT datad (822:822:822) (778:778:778)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[4\]\~15) + (DELAY + (ABSOLUTE + (PORT dataa (490:490:490) (472:472:472)) + (PORT datad (238:238:238) (256:256:256)) + (IOPATH dataa combout (471:471:471) (481:481:481)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (PORT sclr (1477:1477:1477) (1540:1540:1540)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~0) + (DELAY + (ABSOLUTE + (PORT dataa (597:597:597) (653:653:653)) + (PORT datab (656:656:656) (677:677:677)) + (PORT datac (534:534:534) (564:564:564)) + (PORT datad (523:523:523) (548:548:548)) + (IOPATH dataa combout (461:461:461) (481:481:481)) + (IOPATH datab combout (432:432:432) (433:433:433)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~2) + (DELAY + (ABSOLUTE + (PORT dataa (538:538:538) (502:502:502)) + (PORT datab (904:904:904) (872:872:872)) + (PORT datac (616:616:616) (639:639:639)) + (PORT datad (470:470:470) (442:442:442)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~1) + (DELAY + (ABSOLUTE + (PORT dataa (804:804:804) (747:747:747)) + (PORT datab (361:361:361) (437:437:437)) + (PORT datad (794:794:794) (719:719:719)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[1\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (738:738:738) (668:668:668)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|LessThan0\~0) + (DELAY + (ABSOLUTE + (PORT dataa (875:875:875) (870:870:870)) + (PORT datab (648:648:648) (652:652:652)) + (PORT datac (594:594:594) (617:617:617)) + (PORT datad (554:554:554) (569:569:569)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|LessThan0\~1) + (DELAY + (ABSOLUTE + (PORT dataa (667:667:667) (686:686:686)) + (PORT datab (645:645:645) (658:658:658)) + (PORT datac (1230:1230:1230) (1173:1173:1173)) + (PORT datad (237:237:237) (255:255:255)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (440:440:440) (462:462:462)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg1) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg2) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT asdata (760:760:760) (829:829:829)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1694:1694:1694) (1667:1667:1667)) + (PORT clrn (1887:1887:1887) (1859:1859:1859)) + (PORT sload (1861:1861:1861) (1950:1950:1950)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[7\]\~1) + (DELAY + (ABSOLUTE + (PORT datab (1349:1349:1349) (1288:1288:1288)) + (PORT datad (1273:1273:1273) (1222:1222:1222)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (1841:1841:1841) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1858:1858:1858)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~4) + (DELAY + (ABSOLUTE + (PORT dataa (878:878:878) (825:825:825)) + (PORT datab (954:954:954) (948:948:948)) + (PORT datac (811:811:811) (773:773:773)) + (PORT datad (823:823:823) (778:778:778)) + (IOPATH dataa combout (471:471:471) (481:481:481)) + (IOPATH datab combout (435:435:435) (424:424:424)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[5\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (679:679:679) (607:607:607)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1697:1697:1697) (1671:1671:1671)) + (PORT clrn (1887:1887:1887) (1859:1859:1859)) + (PORT sload (1861:1861:1861) (1950:1950:1950)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|LessThan1\~0) + (DELAY + (ABSOLUTE + (PORT dataa (382:382:382) (476:476:476)) + (PORT datab (396:396:396) (480:480:480)) + (PORT datac (548:548:548) (569:569:569)) + (PORT datad (246:246:246) (271:271:271)) + (IOPATH dataa combout (392:392:392) (407:407:407)) + (IOPATH datab combout (393:393:393) (412:412:412)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg1) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1853:1853:1853)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1857:1857:1857)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg2\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (1396:1396:1396) (1298:1298:1298)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg2) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~7) + (DELAY + (ABSOLUTE + (PORT dataa (821:821:821) (749:749:749)) + (PORT datab (1282:1282:1282) (1246:1246:1246)) + (PORT datac (1097:1097:1097) (1062:1062:1062)) + (PORT datad (909:909:909) (898:898:898)) + (IOPATH dataa combout (453:453:453) (446:446:446)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[9\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1887:1887:1887) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~4) + (DELAY + (ABSOLUTE + (PORT datab (336:336:336) (412:412:412)) + (PORT datac (1077:1077:1077) (1103:1103:1103)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~3) + (DELAY + (ABSOLUTE + (PORT datab (346:346:346) (429:429:429)) + (PORT datac (1077:1077:1077) (1103:1103:1103)) + (PORT datad (296:296:296) (366:366:366)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~2) + (DELAY + (ABSOLUTE + (PORT datab (347:347:347) (431:431:431)) + (PORT datac (1077:1077:1077) (1103:1103:1103)) + (PORT datad (296:296:296) (366:366:366)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~1) + (DELAY + (ABSOLUTE + (PORT dataa (339:339:339) (423:423:423)) + (PORT datac (1077:1077:1077) (1103:1103:1103)) + (PORT datad (295:295:295) (365:365:365)) + (IOPATH dataa combout (453:453:453) (446:446:446)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~0) + (DELAY + (ABSOLUTE + (PORT datab (338:338:338) (415:415:415)) + (PORT datac (1078:1078:1078) (1104:1104:1104)) + (PORT datad (298:298:298) (368:368:368)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1335:1335:1335) (1336:1336:1336)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (PORT sload (1624:1624:1624) (1684:1684:1684)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~3) + (DELAY + (ABSOLUTE + (PORT dataa (819:819:819) (747:747:747)) + (PORT datab (1284:1284:1284) (1249:1249:1249)) + (PORT datac (1094:1094:1094) (1058:1058:1058)) + (IOPATH dataa combout (453:453:453) (446:446:446)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1887:1887:1887) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~1) + (DELAY + (ABSOLUTE + (PORT dataa (339:339:339) (423:423:423)) + (PORT datab (337:337:337) (414:414:414)) + (PORT datac (1078:1078:1078) (1104:1104:1104)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~0) + (DELAY + (ABSOLUTE + (PORT datab (452:452:452) (569:569:569)) + (PORT datac (911:911:911) (904:904:904)) + (PORT datad (893:893:893) (882:882:882)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_latch") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi) + (DELAY + (ABSOLUTE + (PORT ENA (1796:1796:1796) (1779:1779:1779)) + (PORT D (1266:1266:1266) (1278:1278:1278)) + (IOPATH (negedge ENA) Q (234:234:234) (234:234:234)) + ) + ) + (TIMINGCHECK + (SETUP D (negedge ENA) (565:565:565)) + (HOLD D (negedge ENA) (126:126:126)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo) + (DELAY + (ABSOLUTE + (PORT clk (1796:1796:1796) (1779:1779:1779)) + (PORT d (972:972:972) (1032:1032:1032)) + (IOPATH (posedge clk) q (234:234:234) (234:234:234)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (109:109:109)) + (HOLD d (posedge clk) (126:126:126)) + ) + ) + (CELL + (CELLTYPE "cycloneive_mux21") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux) + (DELAY + (ABSOLUTE + (PORT A (0:0:0) (0:0:0)) + (PORT B (0:0:0) (0:0:0)) + (PORT S (1969:1969:1969) (1974:1974:1974)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_routing_wire") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay) + (DELAY + (ABSOLUTE + (IOPATH datain dataout (548:548:548) (549:549:549)) + ) + ) + (DELAY + (PATHPULSE datain dataout (548:548:548)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|LessThan17\~2) + (DELAY + (ABSOLUTE + (PORT dataa (1001:1001:1001) (944:944:944)) + (PORT datab (914:914:914) (862:862:862)) + (PORT datac (1186:1186:1186) (1097:1097:1097)) + (IOPATH dataa combout (438:438:438) (448:448:448)) + (IOPATH datab combout (440:440:440) (462:462:462)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\[9\]\~15) + (DELAY + (ABSOLUTE + (PORT dataa (280:280:280) (313:313:313)) + (PORT datab (935:935:935) (877:877:877)) + (PORT datac (1511:1511:1511) (1403:1403:1403)) + (PORT datad (272:272:272) (293:293:293)) + (IOPATH dataa combout (404:404:404) (398:398:398)) + (IOPATH datab combout (435:435:435) (424:424:424)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~35) + (DELAY + (ABSOLUTE + (PORT dataa (289:289:289) (328:328:328)) + (PORT datab (568:568:568) (574:574:574)) + (PORT datac (863:863:863) (822:822:822)) + (PORT datad (246:246:246) (268:268:268)) + (IOPATH dataa combout (453:453:453) (413:413:413)) + (IOPATH datab combout (455:455:455) (412:412:412)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~36) + (DELAY + (ABSOLUTE + (PORT dataa (297:297:297) (342:342:342)) + (PORT datab (921:921:921) (872:872:872)) + (PORT datac (1184:1184:1184) (1094:1094:1094)) + (PORT datad (251:251:251) (282:282:282)) + (IOPATH dataa combout (453:453:453) (413:413:413)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~21) + (DELAY + (ABSOLUTE + (PORT dataa (494:494:494) (489:489:489)) + (PORT datab (497:497:497) (491:491:491)) + (PORT datac (1540:1540:1540) (1407:1407:1407)) + (PORT datad (887:887:887) (833:833:833)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~26) + (DELAY + (ABSOLUTE + (PORT dataa (889:889:889) (853:853:853)) + (PORT datab (842:842:842) (807:807:807)) + (PORT datac (242:242:242) (273:273:273)) + (PORT datad (460:460:460) (440:440:440)) + (IOPATH dataa combout (453:453:453) (446:446:446)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_x\[11\]\~0) + (DELAY + (ABSOLUTE + (PORT datac (1185:1185:1185) (1067:1067:1067)) + (PORT datad (858:858:858) (810:810:810)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~27) + (DELAY + (ABSOLUTE + (PORT dataa (295:295:295) (339:339:339)) + (PORT datab (294:294:294) (328:328:328)) + (PORT datac (237:237:237) (263:263:263)) + (PORT datad (844:844:844) (792:792:792)) + (IOPATH dataa combout (456:456:456) (486:486:486)) + (IOPATH datab combout (457:457:457) (489:489:489)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_pic_inst\|pix_data\[10\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|rgb\[10\]\~2) + (DELAY + (ABSOLUTE + (PORT dataa (828:828:828) (766:766:766)) + (PORT datab (1440:1440:1440) (1301:1301:1301)) + (PORT datac (562:562:562) (583:583:583)) + (PORT datad (1670:1670:1670) (1467:1467:1467)) + (IOPATH dataa combout (392:392:392) (398:398:398)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[7\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~29) + (DELAY + (ABSOLUTE + (PORT dataa (932:932:932) (901:901:901)) + (PORT datab (913:913:913) (862:862:862)) + (PORT datac (1190:1190:1190) (1102:1102:1102)) + (PORT datad (928:928:928) (882:882:882)) + (IOPATH dataa combout (453:453:453) (428:428:428)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~30) + (DELAY + (ABSOLUTE + (PORT dataa (1231:1231:1231) (1143:1143:1143)) + (PORT datab (920:920:920) (872:872:872)) + (PORT datac (1512:1512:1512) (1404:1404:1404)) + (PORT datad (876:876:876) (829:829:829)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~31) + (DELAY + (ABSOLUTE + (PORT datab (275:275:275) (300:300:300)) + (PORT datac (238:238:238) (264:264:264)) + (PORT datad (265:265:265) (283:283:283)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_pic_inst\|pix_data\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|rgb\[6\]\~4) + (DELAY + (ABSOLUTE + (PORT dataa (830:830:830) (768:768:768)) + (PORT datab (1423:1423:1423) (1274:1274:1274)) + (PORT datac (1397:1397:1397) (1261:1261:1261)) + (PORT datad (552:552:552) (571:571:571)) + (IOPATH dataa combout (392:392:392) (398:398:398)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add5\~0) + (DELAY + (ABSOLUTE + (PORT dataa (567:567:567) (605:605:605)) + (PORT datab (745:745:745) (690:690:690)) + (PORT datac (564:564:564) (584:584:584)) + (PORT datad (556:556:556) (575:575:575)) + (IOPATH dataa combout (392:392:392) (398:398:398)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_n1\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~1) + (DELAY + (ABSOLUTE + (PORT dataa (592:592:592) (637:637:637)) + (PORT datab (397:397:397) (498:498:498)) + (PORT datac (354:354:354) (473:473:473)) + (PORT datad (582:582:582) (609:609:609)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~28) + (DELAY + (ABSOLUTE + (PORT dataa (885:885:885) (849:849:849)) + (PORT datab (840:840:840) (805:805:805)) + (PORT datac (247:247:247) (278:278:278)) + (PORT datad (458:458:458) (438:438:438)) + (IOPATH dataa combout (453:453:453) (446:446:446)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_pic_inst\|pix_data\[9\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|rgb\[7\]\~3) + (DELAY + (ABSOLUTE + (PORT dataa (829:829:829) (767:767:767)) + (PORT datab (1423:1423:1423) (1274:1274:1274)) + (PORT datac (1397:1397:1397) (1262:1262:1262)) + (PORT datad (521:521:521) (547:547:547)) + (IOPATH dataa combout (392:392:392) (398:398:398)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add13\~0) + (DELAY + (ABSOLUTE + (PORT dataa (383:383:383) (477:477:477)) + (PORT datab (395:395:395) (510:510:510)) + (PORT datac (338:338:338) (428:428:428)) + (PORT datad (546:546:546) (561:561:561)) + (IOPATH dataa combout (438:438:438) (448:448:448)) + (IOPATH datab combout (440:440:440) (462:462:462)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add13\~1) + (DELAY + (ABSOLUTE + (PORT datab (397:397:397) (498:498:498)) + (PORT datac (355:355:355) (474:474:474)) + (PORT datad (581:581:581) (608:608:608)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1583:1583:1583) (1534:1534:1534)) + (PORT datab (949:949:949) (926:926:926)) + (PORT datac (807:807:807) (803:803:803)) + (PORT datad (852:852:852) (825:825:825)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~1) + (DELAY + (ABSOLUTE + (PORT dataa (1585:1585:1585) (1537:1537:1537)) + (PORT datab (948:948:948) (925:925:925)) + (PORT datac (808:808:808) (805:805:805)) + (PORT datad (851:851:851) (823:823:823)) + (IOPATH dataa combout (438:438:438) (448:448:448)) + (IOPATH datab combout (440:440:440) (462:462:462)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~2) + (DELAY + (ABSOLUTE + (PORT dataa (589:589:589) (634:634:634)) + (PORT datab (395:395:395) (509:509:509)) + (PORT datac (354:354:354) (458:458:458)) + (PORT datad (585:585:585) (613:613:613)) + (IOPATH dataa combout (456:456:456) (486:486:486)) + (IOPATH datab combout (457:457:457) (489:489:489)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1838:1838:1838) (1850:1850:1850)) + (PORT asdata (2131:2131:2131) (2021:2021:2021)) + (PORT clrn (1882:1882:1882) (1854:1854:1854)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~0) + (DELAY + (ABSOLUTE + (PORT dataa (898:898:898) (881:881:881)) + (PORT datab (566:566:566) (593:593:593)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~4) + (DELAY + (ABSOLUTE + (PORT dataa (1536:1536:1536) (1494:1494:1494)) + (PORT datab (910:910:910) (899:899:899)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~0) + (DELAY + (ABSOLUTE + (PORT dataa (591:591:591) (636:636:636)) + (PORT datab (397:397:397) (512:512:512)) + (PORT datac (355:355:355) (459:459:459)) + (PORT datad (583:583:583) (611:611:611)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~2) + (DELAY + (ABSOLUTE + (PORT dataa (1116:1116:1116) (1072:1072:1072)) + (PORT datab (1849:1849:1849) (1763:1763:1763)) + (IOPATH dataa combout (461:461:461) (486:486:486)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (455:455:455) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~9) + (DELAY + (ABSOLUTE + (PORT dataa (907:907:907) (854:854:854)) + (PORT datab (276:276:276) (300:300:300)) + (PORT datac (449:449:449) (436:436:436)) + (PORT datad (1137:1137:1137) (1033:1033:1033)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~10) + (DELAY + (ABSOLUTE + (PORT dataa (280:280:280) (312:312:312)) + (PORT datab (543:543:543) (502:502:502)) + (PORT datac (239:239:239) (266:266:266)) + (PORT datad (1140:1140:1140) (1036:1036:1036)) + (IOPATH dataa combout (404:404:404) (398:398:398)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[8\]\~0) + (DELAY + (ABSOLUTE + (PORT datac (337:337:337) (427:427:427)) + (IOPATH datac combout (324:324:324) (315:315:315)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~15) + (DELAY + (ABSOLUTE + (PORT dataa (279:279:279) (310:310:310)) + (PORT datab (278:278:278) (303:303:303)) + (PORT datac (822:822:822) (765:765:765)) + (PORT datad (912:912:912) (881:881:881)) + (IOPATH dataa combout (405:405:405) (398:398:398)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\]\~7) + (DELAY + (ABSOLUTE + (PORT dataa (824:824:824) (745:745:745)) + (PORT datab (1547:1547:1547) (1392:1392:1392)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1851:1851:1851)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (PORT sclr (1457:1457:1457) (1514:1514:1514)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal1\~0) + (DELAY + (ABSOLUTE + (PORT dataa (361:361:361) (444:444:444)) + (PORT datab (848:848:848) (817:817:817)) + (PORT datac (318:318:318) (396:396:396)) + (PORT datad (321:321:321) (391:391:391)) + (IOPATH dataa combout (456:456:456) (486:486:486)) + (IOPATH datab combout (457:457:457) (489:489:489)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal1\~1) + (DELAY + (ABSOLUTE + (PORT datab (289:289:289) (319:319:319)) + (PORT datad (329:329:329) (406:406:406)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\]\~6) + (DELAY + (ABSOLUTE + (PORT dataa (280:280:280) (312:312:312)) + (PORT datab (278:278:278) (303:303:303)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab cout (565:565:565) (421:421:421)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[1\]\~9) + (DELAY + (ABSOLUTE + (PORT dataa (820:820:820) (745:745:745)) + (PORT datab (472:472:472) (455:455:455)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[2\]\~11) + (DELAY + (ABSOLUTE + (PORT dataa (534:534:534) (493:493:493)) + (PORT datab (751:751:751) (678:678:678)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1851:1851:1851)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (PORT sclr (1457:1457:1457) (1514:1514:1514)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~3) + (DELAY + (ABSOLUTE + (PORT dataa (909:909:909) (855:855:855)) + (PORT datab (277:277:277) (302:302:302)) + (PORT datac (235:235:235) (261:261:261)) + (PORT datad (1138:1138:1138) (1034:1034:1034)) + (IOPATH dataa combout (461:461:461) (481:481:481)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~4) + (DELAY + (ABSOLUTE + (PORT dataa (280:280:280) (312:312:312)) + (PORT datab (277:277:277) (301:301:301)) + (PORT datac (711:711:711) (641:641:641)) + (PORT datad (826:826:826) (772:772:772)) + (IOPATH dataa combout (404:404:404) (398:398:398)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[3\]\~13) + (DELAY + (ABSOLUTE + (PORT dataa (278:278:278) (310:310:310)) + (PORT datab (803:803:803) (726:726:726)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1851:1851:1851)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (PORT sclr (1457:1457:1457) (1514:1514:1514)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal2\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1581:1581:1581) (1533:1533:1533)) + (PORT datab (949:949:949) (926:926:926)) + (PORT datac (806:806:806) (803:803:803)) + (PORT datad (853:853:853) (826:826:826)) + (IOPATH dataa combout (461:461:461) (486:486:486)) + (IOPATH datab combout (457:457:457) (489:489:489)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_2) + (DELAY + (ABSOLUTE + (PORT dataa (908:908:908) (889:889:889)) + (PORT datab (368:368:368) (448:448:448)) + (PORT datac (793:793:793) (709:709:709)) + (PORT datad (248:248:248) (275:275:275)) + (IOPATH dataa combout (461:461:461) (481:481:481)) + (IOPATH datab combout (435:435:435) (433:433:433)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[0\]\~0) + (DELAY + (ABSOLUTE + (PORT dataa (972:972:972) (869:869:869)) + (PORT datab (809:809:809) (762:762:762)) + (PORT datad (819:819:819) (803:803:803)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~11) + (DELAY + (ABSOLUTE + (PORT dataa (282:282:282) (314:314:314)) + (PORT datab (277:277:277) (302:302:302)) + (PORT datac (1044:1044:1044) (943:943:943)) + (PORT datad (530:530:530) (505:505:505)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~12) + (DELAY + (ABSOLUTE + (PORT dataa (867:867:867) (798:798:798)) + (PORT datab (950:950:950) (927:927:927)) + (PORT datac (810:810:810) (807:807:807)) + (PORT datad (236:236:236) (254:254:254)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1851:1851:1851)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (PORT sclr (1457:1457:1457) (1514:1514:1514)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~6) + (DELAY + (ABSOLUTE + (PORT datad (591:591:591) (618:618:618)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~2) + (DELAY + (ABSOLUTE + (PORT dataa (742:742:742) (679:679:679)) + (PORT datab (792:792:792) (710:710:710)) + (PORT datac (770:770:770) (709:709:709)) + (PORT datad (781:781:781) (721:721:721)) + (IOPATH dataa combout (453:453:453) (446:446:446)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[4\]\~15) + (DELAY + (ABSOLUTE + (PORT dataa (803:803:803) (737:737:737)) + (PORT datad (452:452:452) (430:430:430)) + (IOPATH dataa combout (471:471:471) (481:481:481)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1851:1851:1851)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (PORT sclr (1457:1457:1457) (1514:1514:1514)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~2) + (DELAY + (ABSOLUTE + (PORT dataa (933:933:933) (896:896:896)) + (PORT datab (277:277:277) (302:302:302)) + (PORT datac (237:237:237) (264:264:264)) + (PORT datad (590:590:590) (617:617:617)) + (IOPATH dataa combout (461:461:461) (481:481:481)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT asdata (803:803:803) (884:884:884)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~1) + (DELAY + (ABSOLUTE + (PORT dataa (380:380:380) (477:477:477)) + (PORT datab (824:824:824) (751:751:751)) + (PORT datad (776:776:776) (716:716:716)) + (IOPATH dataa combout (421:421:421) (418:418:418)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[3\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (780:780:780) (695:695:695)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1719:1719:1719) (1680:1680:1680)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (PORT sload (1425:1425:1425) (1434:1434:1434)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~3) + (DELAY + (ABSOLUTE + (PORT dataa (798:798:798) (777:777:777)) + (PORT datab (808:808:808) (760:760:760)) + (PORT datac (986:986:986) (855:855:855)) + (PORT datad (820:820:820) (803:803:803)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[5\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (238:238:238) (256:256:256)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1722:1722:1722) (1684:1684:1684)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (PORT sload (1425:1425:1425) (1434:1434:1434)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~2) + (DELAY + (ABSOLUTE + (PORT dataa (339:339:339) (422:422:422)) + (PORT datab (336:336:336) (413:413:413)) + (PORT datac (1040:1040:1040) (1056:1056:1056)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~1) + (DELAY + (ABSOLUTE + (PORT datab (342:342:342) (425:425:425)) + (PORT datac (1038:1038:1038) (1054:1054:1054)) + (PORT datad (298:298:298) (368:368:368)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~0) + (DELAY + (ABSOLUTE + (PORT datab (347:347:347) (430:430:430)) + (PORT datac (1040:1040:1040) (1056:1056:1056)) + (PORT datad (298:298:298) (368:368:368)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~7) + (DELAY + (ABSOLUTE + (PORT dataa (987:987:987) (991:991:991)) + (PORT datac (1441:1441:1441) (1373:1373:1373)) + (PORT datad (1255:1255:1255) (1202:1202:1202)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (1846:1846:1846) (1857:1857:1857)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1891:1891:1891) (1861:1861:1861)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~4) + (DELAY + (ABSOLUTE + (PORT datab (336:336:336) (412:412:412)) + (PORT datad (1042:1042:1042) (1073:1073:1073)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1846:1846:1846) (1857:1857:1857)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~3) + (DELAY + (ABSOLUTE + (PORT dataa (348:348:348) (439:439:439)) + (PORT datab (1086:1086:1086) (1121:1121:1121)) + (PORT datac (295:295:295) (373:373:373)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1846:1846:1846) (1857:1857:1857)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~2) + (DELAY + (ABSOLUTE + (PORT dataa (340:340:340) (424:424:424)) + (PORT datab (1086:1086:1086) (1121:1121:1121)) + (PORT datac (296:296:296) (374:374:374)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1846:1846:1846) (1857:1857:1857)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~1) + (DELAY + (ABSOLUTE + (PORT dataa (347:347:347) (438:438:438)) + (PORT datab (1087:1087:1087) (1122:1122:1122)) + (PORT datad (296:296:296) (366:366:366)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1846:1846:1846) (1857:1857:1857)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~0) + (DELAY + (ABSOLUTE + (PORT dataa (996:996:996) (972:972:972)) + (PORT datab (1087:1087:1087) (1122:1122:1122)) + (PORT datac (296:296:296) (374:374:374)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1846:1846:1846) (1857:1857:1857)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_latch") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi) + (DELAY + (ABSOLUTE + (PORT ENA (1798:1798:1798) (1784:1784:1784)) + (PORT D (882:882:882) (936:936:936)) + (IOPATH (negedge ENA) Q (234:234:234) (234:234:234)) + ) + ) + (TIMINGCHECK + (SETUP D (negedge ENA) (565:565:565)) + (HOLD D (negedge ENA) (126:126:126)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo) + (DELAY + (ABSOLUTE + (PORT clk (1798:1798:1798) (1784:1784:1784)) + (PORT d (1325:1325:1325) (1362:1362:1362)) + (IOPATH (posedge clk) q (234:234:234) (234:234:234)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (109:109:109)) + (HOLD d (posedge clk) (126:126:126)) + ) + ) + (CELL + (CELLTYPE "cycloneive_mux21") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux) + (DELAY + (ABSOLUTE + (PORT A (0:0:0) (0:0:0)) + (PORT B (0:0:0) (0:0:0)) + (PORT S (1971:1971:1971) (1979:1979:1979)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_routing_wire") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay) + (DELAY + (ABSOLUTE + (IOPATH datain dataout (548:548:548) (549:549:549)) + ) + ) + (DELAY + (PATHPULSE datain dataout (548:548:548)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~33) + (DELAY + (ABSOLUTE + (PORT dataa (870:870:870) (831:831:831)) + (PORT datab (293:293:293) (328:328:328)) + (PORT datad (246:246:246) (268:268:268)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_pic_inst\|pix_data\[13\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|rgb\[13\]\~6) + (DELAY + (ABSOLUTE + (PORT dataa (1262:1262:1262) (1169:1169:1169)) + (PORT datab (1497:1497:1497) (1356:1356:1356)) + (PORT datac (305:305:305) (388:388:388)) + (PORT datad (812:812:812) (771:771:771)) + (IOPATH dataa combout (392:392:392) (398:398:398)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_reg\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~32) + (DELAY + (ABSOLUTE + (PORT dataa (869:869:869) (830:830:830)) + (PORT datab (283:283:283) (314:314:314)) + (PORT datac (857:857:857) (804:804:804)) + (PORT datad (843:843:843) (792:792:792)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_pic_inst\|pix_data\[15\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add6\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1161:1161:1161) (1056:1056:1056)) + (PORT datab (873:873:873) (818:818:818)) + (PORT datac (305:305:305) (389:389:389)) + (PORT datad (532:532:532) (555:555:555)) + (IOPATH dataa combout (392:392:392) (398:398:398)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_n1\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|rgb\[12\]\~5) + (DELAY + (ABSOLUTE + (PORT dataa (1261:1261:1261) (1168:1168:1168)) + (PORT datab (1497:1497:1497) (1356:1356:1356)) + (PORT datac (323:323:323) (402:402:402)) + (PORT datad (812:812:812) (771:771:771)) + (IOPATH dataa combout (392:392:392) (398:398:398)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_reg\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add12\~0) + (DELAY + (ABSOLUTE + (PORT datab (993:993:993) (992:992:992)) + (PORT datac (1027:1027:1027) (1043:1043:1043)) + (PORT datad (960:960:960) (972:972:972)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1893:1893:1893) (1863:1863:1863)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add14\~0) + (DELAY + (ABSOLUTE + (PORT datab (993:993:993) (993:993:993)) + (PORT datac (1028:1028:1028) (1044:1044:1044)) + (PORT datad (960:960:960) (972:972:972)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1893:1893:1893) (1863:1863:1863)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add12\~1) + (DELAY + (ABSOLUTE + (PORT datac (1021:1021:1021) (1035:1035:1035)) + (PORT datad (957:957:957) (969:969:969)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1893:1893:1893) (1863:1863:1863)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~0) + (DELAY + (ABSOLUTE + (PORT dataa (902:902:902) (912:912:912)) + (PORT datab (907:907:907) (896:896:896)) + (PORT datac (894:894:894) (881:881:881)) + (PORT datad (824:824:824) (807:807:807)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[8\]\~0) + (DELAY + (ABSOLUTE + (PORT datac (1027:1027:1027) (1042:1042:1042)) + (IOPATH datac combout (324:324:324) (315:315:315)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1893:1893:1893) (1863:1863:1863)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal2\~0) + (DELAY + (ABSOLUTE + (PORT dataa (903:903:903) (913:913:913)) + (PORT datab (913:913:913) (903:903:903)) + (PORT datac (902:902:902) (890:890:890)) + (PORT datad (821:821:821) (804:804:804)) + (IOPATH dataa combout (456:456:456) (486:486:486)) + (IOPATH datab combout (457:457:457) (489:489:489)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~0) + (DELAY + (ABSOLUTE + (PORT dataa (945:945:945) (902:902:902)) + (PORT datab (862:862:862) (850:850:850)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~5) + (DELAY + (ABSOLUTE + (PORT dataa (278:278:278) (310:310:310)) + (PORT datab (279:279:279) (305:305:305)) + (PORT datac (823:823:823) (779:779:779)) + (PORT datad (770:770:770) (701:701:701)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (407:407:407) (408:408:408)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~6) + (DELAY + (ABSOLUTE + (PORT dataa (883:883:883) (865:865:865)) + (PORT datab (868:868:868) (856:856:856)) + (PORT datac (492:492:492) (462:462:462)) + (PORT datad (835:835:835) (769:769:769)) + (IOPATH dataa combout (421:421:421) (418:418:418)) + (IOPATH datab combout (435:435:435) (424:424:424)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal1\~0) + (DELAY + (ABSOLUTE + (PORT dataa (394:394:394) (480:480:480)) + (PORT datab (374:374:374) (463:463:463)) + (PORT datac (325:325:325) (410:410:410)) + (PORT datad (327:327:327) (404:404:404)) + (IOPATH dataa combout (456:456:456) (486:486:486)) + (IOPATH datab combout (457:457:457) (489:489:489)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal1\~1) + (DELAY + (ABSOLUTE + (PORT datac (854:854:854) (825:825:825)) + (PORT datad (726:726:726) (656:656:656)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\]\~6) + (DELAY + (ABSOLUTE + (PORT dataa (1087:1087:1087) (966:966:966)) + (PORT datab (1047:1047:1047) (920:920:920)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab cout (565:565:565) (421:421:421)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\]\~7) + (DELAY + (ABSOLUTE + (PORT dataa (281:281:281) (314:314:314)) + (PORT datab (277:277:277) (302:302:302)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1848:1848:1848) (1858:1858:1858)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1892:1892:1892) (1863:1863:1863)) + (PORT sclr (1798:1798:1798) (1895:1895:1895)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~0) + (DELAY + (ABSOLUTE + (PORT dataa (858:858:858) (844:844:844)) + (PORT datab (376:376:376) (466:466:466)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~15) + (DELAY + (ABSOLUTE + (PORT dataa (848:848:848) (751:751:751)) + (PORT datab (277:277:277) (302:302:302)) + (PORT datac (828:828:828) (818:818:818)) + (PORT datad (834:834:834) (767:767:767)) + (IOPATH dataa combout (405:405:405) (398:398:398)) + (IOPATH datab combout (407:407:407) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[1\]\~9) + (DELAY + (ABSOLUTE + (PORT dataa (478:478:478) (468:468:468)) + (PORT datab (541:541:541) (503:503:503)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[2\]\~11) + (DELAY + (ABSOLUTE + (PORT dataa (752:752:752) (691:691:691)) + (PORT datab (276:276:276) (301:301:301)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[3\]\~13) + (DELAY + (ABSOLUTE + (PORT dataa (492:492:492) (475:475:475)) + (PORT datab (276:276:276) (301:301:301)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1848:1848:1848) (1858:1858:1858)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1892:1892:1892) (1863:1863:1863)) + (PORT sclr (1798:1798:1798) (1895:1895:1895)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[3\]\~0) + (DELAY + (ABSOLUTE + (PORT datad (237:237:237) (255:255:255)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1893:1893:1893) (1863:1863:1863)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_2) + (DELAY + (ABSOLUTE + (PORT dataa (797:797:797) (717:717:717)) + (PORT datab (837:837:837) (744:744:744)) + (PORT datac (851:851:851) (822:822:822)) + (PORT datad (551:551:551) (581:581:581)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[0\]\~0) + (DELAY + (ABSOLUTE + (PORT dataa (322:322:322) (366:366:366)) + (PORT datab (608:608:608) (644:644:644)) + (PORT datad (281:281:281) (305:305:305)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (435:435:435) (433:433:433)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~14) + (DELAY + (ABSOLUTE + (PORT dataa (279:279:279) (311:311:311)) + (PORT datab (818:818:818) (775:775:775)) + (PORT datac (821:821:821) (796:796:796)) + (PORT datad (443:443:443) (415:415:415)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1848:1848:1848) (1858:1858:1858)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1892:1892:1892) (1863:1863:1863)) + (PORT sclr (1798:1798:1798) (1895:1895:1895)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~2) + (DELAY + (ABSOLUTE + (PORT dataa (862:862:862) (850:850:850)) + (PORT datab (949:949:949) (920:920:920)) + (IOPATH dataa combout (461:461:461) (486:486:486)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (455:455:455) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~2) + (DELAY + (ABSOLUTE + (PORT dataa (860:860:860) (848:848:848)) + (PORT datab (953:953:953) (926:926:926)) + (IOPATH dataa combout (453:453:453) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (473:473:473) (489:489:489)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~7) + (DELAY + (ABSOLUTE + (PORT dataa (878:878:878) (810:810:810)) + (PORT datab (276:276:276) (301:301:301)) + (PORT datac (238:238:238) (264:264:264)) + (PORT datad (801:801:801) (758:758:758)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~8) + (DELAY + (ABSOLUTE + (PORT dataa (848:848:848) (759:759:759)) + (PORT datab (277:277:277) (302:302:302)) + (PORT datac (690:690:690) (636:636:636)) + (PORT datad (835:835:835) (768:768:768)) + (IOPATH dataa combout (404:404:404) (398:398:398)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1848:1848:1848) (1858:1858:1858)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1892:1892:1892) (1863:1863:1863)) + (PORT sclr (1798:1798:1798) (1895:1895:1895)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT asdata (1652:1652:1652) (1603:1603:1603)) + (PORT clrn (1893:1893:1893) (1863:1863:1863)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~8) + (DELAY + (ABSOLUTE + (PORT datad (861:861:861) (829:829:829)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~6) + (DELAY + (ABSOLUTE + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~0) + (DELAY + (ABSOLUTE + (PORT dataa (893:893:893) (834:834:834)) + (PORT datab (485:485:485) (466:466:466)) + (PORT datac (746:746:746) (671:671:671)) + (PORT datad (777:777:777) (734:734:734)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~6) + (DELAY + (ABSOLUTE + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~1) + (DELAY + (ABSOLUTE + (PORT dataa (810:810:810) (728:728:728)) + (PORT datab (275:275:275) (299:299:299)) + (PORT datac (710:710:710) (638:638:638)) + (PORT datad (777:777:777) (734:734:734)) + (IOPATH dataa combout (392:392:392) (398:398:398)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[4\]\~15) + (DELAY + (ABSOLUTE + (PORT dataa (488:488:488) (476:476:476)) + (PORT datad (450:450:450) (428:428:428)) + (IOPATH dataa combout (471:471:471) (481:481:481)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1848:1848:1848) (1858:1858:1858)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1892:1892:1892) (1863:1863:1863)) + (PORT sclr (1798:1798:1798) (1895:1895:1895)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~2) + (DELAY + (ABSOLUTE + (PORT dataa (796:796:796) (720:720:720)) + (PORT datab (783:783:783) (705:705:705)) + (PORT datac (859:859:859) (818:818:818)) + (PORT datad (551:551:551) (580:580:580)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT asdata (1488:1488:1488) (1493:1493:1493)) + (PORT clrn (1893:1893:1893) (1863:1863:1863)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~1) + (DELAY + (ABSOLUTE + (PORT dataa (817:817:817) (763:763:763)) + (PORT datab (877:877:877) (788:788:788)) + (PORT datad (339:339:339) (424:424:424)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (472:472:472) (462:462:462)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[1\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (855:855:855) (792:792:792)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1846:1846:1846) (1857:1857:1857)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1909:1909:1909) (1833:1833:1833)) + (PORT clrn (1891:1891:1891) (1861:1861:1861)) + (PORT sload (1745:1745:1745) (1782:1782:1782)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[7\]\~1) + (DELAY + (ABSOLUTE + (PORT datac (1019:1019:1019) (1033:1033:1033)) + (PORT datad (956:956:956) (968:968:968)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1893:1893:1893) (1863:1863:1863)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~4) + (DELAY + (ABSOLUTE + (PORT dataa (384:384:384) (479:479:479)) + (PORT datab (333:333:333) (409:409:409)) + (PORT datac (772:772:772) (715:715:715)) + (PORT datad (817:817:817) (739:739:739)) + (IOPATH dataa combout (438:438:438) (448:448:448)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[5\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (765:765:765) (677:677:677)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (1846:1846:1846) (1857:1857:1857)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1909:1909:1909) (1832:1832:1832)) + (PORT clrn (1891:1891:1891) (1861:1861:1861)) + (PORT sload (1745:1745:1745) (1782:1782:1782)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~7) + (DELAY + (ABSOLUTE + (PORT dataa (641:641:641) (673:673:673)) + (PORT datab (372:372:372) (455:455:455)) + (PORT datac (1255:1255:1255) (1225:1225:1225)) + (PORT datad (859:859:859) (813:813:813)) + (IOPATH dataa combout (461:461:461) (486:486:486)) + (IOPATH datab combout (457:457:457) (489:489:489)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[9\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~4) + (DELAY + (ABSOLUTE + (PORT datab (337:337:337) (414:414:414)) + (PORT datac (1039:1039:1039) (1055:1055:1055)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~3) + (DELAY + (ABSOLUTE + (PORT datab (346:346:346) (430:430:430)) + (PORT datac (937:937:937) (927:927:927)) + (PORT datad (1043:1043:1043) (1074:1074:1074)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1846:1846:1846) (1857:1857:1857)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~2) + (DELAY + (ABSOLUTE + (PORT datab (346:346:346) (430:430:430)) + (PORT datac (295:295:295) (373:373:373)) + (PORT datad (1043:1043:1043) (1075:1075:1075)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1846:1846:1846) (1857:1857:1857)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~1) + (DELAY + (ABSOLUTE + (PORT dataa (340:340:340) (424:424:424)) + (PORT datab (1086:1086:1086) (1121:1121:1121)) + (PORT datac (298:298:298) (376:376:376)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1846:1846:1846) (1857:1857:1857)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~0) + (DELAY + (ABSOLUTE + (PORT datab (338:338:338) (415:415:415)) + (PORT datac (940:940:940) (953:953:953)) + (PORT datad (296:296:296) (366:366:366)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1846:1846:1846) (1857:1857:1857)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1999:1999:1999) (1897:1897:1897)) + (PORT clrn (1893:1893:1893) (1863:1863:1863)) + (PORT sload (1763:1763:1763) (1796:1796:1796)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\]\~6) + (DELAY + (ABSOLUTE + (PORT dataa (322:322:322) (366:366:366)) + (PORT datab (613:613:613) (648:648:648)) + (PORT datad (281:281:281) (305:305:305)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (435:435:435) (433:433:433)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg2\~_wirecell) + (DELAY + (ABSOLUTE + (IOPATH datac combout (462:462:462) (482:482:482)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[6\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1672:1672:1672) (1549:1549:1549)) + (PORT clrn (1893:1893:1893) (1863:1863:1863)) + (PORT sload (1763:1763:1763) (1796:1796:1796)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~3) + (DELAY + (ABSOLUTE + (PORT dataa (339:339:339) (423:423:423)) + (PORT datab (338:338:338) (415:415:415)) + (PORT datad (1006:1006:1006) (1025:1025:1025)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~2) + (DELAY + (ABSOLUTE + (PORT dataa (340:340:340) (425:425:425)) + (PORT datab (1044:1044:1044) (1065:1065:1065)) + (PORT datad (298:298:298) (368:368:368)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~1) + (DELAY + (ABSOLUTE + (PORT dataa (343:343:343) (428:428:428)) + (PORT datab (1046:1046:1046) (1068:1068:1068)) + (PORT datad (299:299:299) (369:369:369)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~0) + (DELAY + (ABSOLUTE + (PORT datab (337:337:337) (414:414:414)) + (PORT datac (979:979:979) (987:987:987)) + (PORT datad (296:296:296) (366:366:366)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_latch") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi) + (DELAY + (ABSOLUTE + (PORT ENA (1800:1800:1800) (1786:1786:1786)) + (PORT D (884:884:884) (939:939:939)) + (IOPATH (negedge ENA) Q (234:234:234) (234:234:234)) + ) + ) + (TIMINGCHECK + (SETUP D (negedge ENA) (565:565:565)) + (HOLD D (negedge ENA) (126:126:126)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo) + (DELAY + (ABSOLUTE + (PORT clk (1800:1800:1800) (1786:1786:1786)) + (PORT d (1354:1354:1354) (1392:1392:1392)) + (IOPATH (posedge clk) q (234:234:234) (234:234:234)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (109:109:109)) + (HOLD d (posedge clk) (126:126:126)) + ) + ) + (CELL + (CELLTYPE "cycloneive_mux21") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux) + (DELAY + (ABSOLUTE + (PORT A (0:0:0) (0:0:0)) + (PORT B (0:0:0) (0:0:0)) + (PORT S (1973:1973:1973) (1981:1981:1981)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_routing_wire") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay) + (DELAY + (ABSOLUTE + (IOPATH datain dataout (548:548:548) (549:549:549)) + ) + ) + (DELAY + (PATHPULSE datain dataout (548:548:548)) + ) + ) + (CELL + (CELLTYPE "cycloneive_latch") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi) + (DELAY + (ABSOLUTE + (PORT ENA (1796:1796:1796) (1779:1779:1779)) + (PORT D (1231:1231:1231) (1313:1313:1313)) + (IOPATH (negedge ENA) Q (234:234:234) (234:234:234)) + ) + ) + (TIMINGCHECK + (SETUP D (negedge ENA) (565:565:565)) + (HOLD D (negedge ENA) (126:126:126)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo) + (DELAY + (ABSOLUTE + (PORT clk (1796:1796:1796) (1779:1779:1779)) + (PORT d (974:974:974) (1030:1030:1030)) + (IOPATH (posedge clk) q (234:234:234) (234:234:234)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (109:109:109)) + (HOLD d (posedge clk) (126:126:126)) + ) + ) + (CELL + (CELLTYPE "cycloneive_mux21") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux) + (DELAY + (ABSOLUTE + (PORT A (0:0:0) (0:0:0)) + (PORT B (0:0:0) (0:0:0)) + (PORT S (1969:1969:1969) (1974:1974:1974)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_routing_wire") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay) + (DELAY + (ABSOLUTE + (IOPATH datain dataout (548:548:548) (549:549:549)) + ) + ) + (DELAY + (PATHPULSE datain dataout (548:548:548)) + ) + ) + (CELL + (CELLTYPE "cycloneive_latch") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi) + (DELAY + (ABSOLUTE + (PORT ENA (1798:1798:1798) (1784:1784:1784)) + (PORT D (889:889:889) (929:929:929)) + (IOPATH (negedge ENA) Q (234:234:234) (234:234:234)) + ) + ) + (TIMINGCHECK + (SETUP D (negedge ENA) (565:565:565)) + (HOLD D (negedge ENA) (126:126:126)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo) + (DELAY + (ABSOLUTE + (PORT clk (1798:1798:1798) (1784:1784:1784)) + (PORT d (1304:1304:1304) (1383:1383:1383)) + (IOPATH (posedge clk) q (234:234:234) (234:234:234)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (109:109:109)) + (HOLD d (posedge clk) (126:126:126)) + ) + ) + (CELL + (CELLTYPE "cycloneive_mux21") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux) + (DELAY + (ABSOLUTE + (PORT A (0:0:0) (0:0:0)) + (PORT B (0:0:0) (0:0:0)) + (PORT S (1971:1971:1971) (1979:1979:1979)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_routing_wire") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay) + (DELAY + (ABSOLUTE + (IOPATH datain dataout (548:548:548) (549:549:549)) + ) + ) + (DELAY + (PATHPULSE datain dataout (548:548:548)) + ) + ) + (CELL + (CELLTYPE "cycloneive_latch") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi) + (DELAY + (ABSOLUTE + (PORT ENA (1800:1800:1800) (1786:1786:1786)) + (PORT D (892:892:892) (931:931:931)) + (IOPATH (negedge ENA) Q (234:234:234) (234:234:234)) + ) + ) + (TIMINGCHECK + (SETUP D (negedge ENA) (565:565:565)) + (HOLD D (negedge ENA) (126:126:126)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo) + (DELAY + (ABSOLUTE + (PORT clk (1800:1800:1800) (1786:1786:1786)) + (PORT d (1334:1334:1334) (1412:1412:1412)) + (IOPATH (posedge clk) q (234:234:234) (234:234:234)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (109:109:109)) + (HOLD d (posedge clk) (126:126:126)) + ) + ) + (CELL + (CELLTYPE "cycloneive_mux21") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux) + (DELAY + (ABSOLUTE + (PORT A (0:0:0) (0:0:0)) + (PORT B (0:0:0) (0:0:0)) + (PORT S (1973:1973:1973) (1981:1981:1981)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_routing_wire") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay) + (DELAY + (ABSOLUTE + (IOPATH datain dataout (548:548:548) (549:549:549)) + ) + ) + (DELAY + (PATHPULSE datain dataout (548:548:548)) + ) + ) +) diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_min_1200mv_0c_fast.vo b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_min_1200mv_0c_fast.vo new file mode 100644 index 0000000..62b371e --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_min_1200mv_0c_fast.vo @@ -0,0 +1,11443 @@ +// Copyright (C) 1991-2013 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + +// VENDOR "Altera" +// PROGRAM "Quartus II 64-Bit" +// VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version" + +// DATE "06/02/2023 04:17:19" + +// +// Device: Altera EP4CE15F23C8 Package FBGA484 +// + +// +// This Verilog file should be used for ModelSim (Verilog) only +// + +`timescale 1 ps/ 1 ps + +module hdmi_colorbar ( + sys_clk, + sys_rst_n, + ddc_scl, + ddc_sda, + tmds_clk_p, + tmds_clk_n, + tmds_data_p, + tmds_data_n); +input sys_clk; +input sys_rst_n; +output ddc_scl; +output ddc_sda; +output tmds_clk_p; +output tmds_clk_n; +output [2:0] tmds_data_p; +output [2:0] tmds_data_n; + +// Design Ports Information +// ddc_scl => Location: PIN_N22, I/O Standard: 2.5 V, Current Strength: Default +// ddc_sda => Location: PIN_R22, I/O Standard: 2.5 V, Current Strength: Default +// tmds_clk_p => Location: PIN_H21, I/O Standard: 2.5 V, Current Strength: Default +// tmds_clk_n => Location: PIN_H22, I/O Standard: 2.5 V, Current Strength: Default +// tmds_data_p[0] => Location: PIN_F21, I/O Standard: 2.5 V, Current Strength: Default +// tmds_data_p[1] => Location: PIN_E21, I/O Standard: 2.5 V, Current Strength: Default +// tmds_data_p[2] => Location: PIN_D21, I/O Standard: 2.5 V, Current Strength: Default +// tmds_data_n[0] => Location: PIN_F22, I/O Standard: 2.5 V, Current Strength: Default +// tmds_data_n[1] => Location: PIN_E22, I/O Standard: 2.5 V, Current Strength: Default +// tmds_data_n[2] => Location: PIN_D22, I/O Standard: 2.5 V, Current Strength: Default +// sys_rst_n => Location: PIN_U20, I/O Standard: 2.5 V, Current Strength: Default +// sys_clk => Location: PIN_T22, I/O Standard: 2.5 V, Current Strength: Default + + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +tri1 devclrn; +tri1 devpor; +tri1 devoe; +// synopsys translate_off +initial $sdf_annotate("hdmi_colorbar_min_1200mv_0c_v_fast.sdo"); +// synopsys translate_on + +wire \hdmi_ctrl_inst|encode_inst0|Add20~1 ; +wire \hdmi_ctrl_inst|encode_inst0|Add20~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add20~3 ; +wire \hdmi_ctrl_inst|encode_inst0|Add20~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add20~5 ; +wire \hdmi_ctrl_inst|encode_inst0|Add20~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add20~6_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~1 ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~3 ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~5 ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~7 ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~6_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~8_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add23~1 ; +wire \hdmi_ctrl_inst|encode_inst0|Add23~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add23~3 ; +wire \hdmi_ctrl_inst|encode_inst0|Add23~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add23~5 ; +wire \hdmi_ctrl_inst|encode_inst0|Add23~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add23~6_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~1 ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~3 ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~5 ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~7 ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~6_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~8_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add19~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add22~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add20~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add20~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add20~5 ; +wire \hdmi_ctrl_inst|encode_inst1|Add20~6_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~5 ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~7 ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~6_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~8_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add23~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add23~5 ; +wire \hdmi_ctrl_inst|encode_inst1|Add23~6_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~7 ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~8_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add19~1 ; +wire \hdmi_ctrl_inst|encode_inst1|Add19~3 ; +wire \hdmi_ctrl_inst|encode_inst1|Add19~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add19~5 ; +wire \hdmi_ctrl_inst|encode_inst1|Add19~4_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add19~6_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add22~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add22~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add22~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add20~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add20~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~6_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add23~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add23~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~5 ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~7 ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~6_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~8_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add19~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add19~5 ; +wire \hdmi_ctrl_inst|encode_inst2|Add19~6_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add22~1 ; +wire \hdmi_ctrl_inst|encode_inst2|Add22~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add22~3 ; +wire \hdmi_ctrl_inst|encode_inst2|Add22~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add22~5 ; +wire \hdmi_ctrl_inst|encode_inst2|Add22~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add22~6_combout ; +wire \vga_ctrl_inst|Add0~2_combout ; +wire \vga_ctrl_inst|Add0~10_combout ; +wire \vga_ctrl_inst|Add1~2_combout ; +wire \vga_ctrl_inst|Add1~6_combout ; +wire \vga_ctrl_inst|Add1~8_combout ; +wire \vga_ctrl_inst|Add1~10_combout ; +wire \vga_ctrl_inst|Add1~12_combout ; +wire \vga_ctrl_inst|Add1~14_combout ; +wire \vga_ctrl_inst|Add1~16_combout ; +wire \vga_ctrl_inst|Add1~18_combout ; +wire \vga_ctrl_inst|Add1~20_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~3_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~5_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~7_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~8_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~11_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~12_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~16_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add12~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out~2_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~1_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~5_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~6_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~7_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~8_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~13_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~14_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~16_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~3_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~9_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~10_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~11_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~12_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~13_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~16_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add14~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out~3_combout ; +wire \vga_ctrl_inst|pix_data_req~0_combout ; +wire \vga_ctrl_inst|pix_data_req~3_combout ; +wire \hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out~5_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out~5_combout ; +wire \vga_ctrl_inst|pix_x[10]~1_combout ; +wire \vga_pic_inst|always0~1_combout ; +wire \vga_pic_inst|always0~2_combout ; +wire \vga_pic_inst|pix_data[9]~14_combout ; +wire \vga_pic_inst|pix_data~16_combout ; +wire \vga_ctrl_inst|pix_data_req~8_combout ; +wire \vga_ctrl_inst|cnt_v[10]~12_combout ; +wire \hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ; +wire \vga_pic_inst|LessThan10~0_combout ; +wire \vga_pic_inst|pix_data~22_combout ; +wire \vga_pic_inst|pix_data~23_combout ; +wire \vga_pic_inst|LessThan14~1_combout ; +wire \vga_pic_inst|pix_data[13]~24_combout ; +wire \vga_pic_inst|pix_data~25_combout ; +wire \hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out~5_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out~8_combout ; +wire \hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out~6_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out~8_combout ; +wire \vga_pic_inst|pix_data~37_combout ; +wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ; +wire \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ; +wire \sys_clk~input_o ; +wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ; +wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ; +wire \vga_ctrl_inst|Add0~0_combout ; +wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ; +wire \sys_rst_n~input_o ; +wire \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ; +wire \clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ; +wire \rst_n~0_combout ; +wire \rst_n~0clkctrl_outclk ; +wire \vga_ctrl_inst|Add0~1 ; +wire \vga_ctrl_inst|Add0~3 ; +wire \vga_ctrl_inst|Add0~5 ; +wire \vga_ctrl_inst|Add0~6_combout ; +wire \vga_ctrl_inst|Add0~7 ; +wire \vga_ctrl_inst|Add0~8_combout ; +wire \vga_ctrl_inst|Add0~9 ; +wire \vga_ctrl_inst|Add0~11 ; +wire \vga_ctrl_inst|Add0~12_combout ; +wire \vga_ctrl_inst|Add0~13 ; +wire \vga_ctrl_inst|Add0~14_combout ; +wire \vga_ctrl_inst|Add0~4_combout ; +wire \vga_ctrl_inst|Equal0~0_combout ; +wire \vga_ctrl_inst|Add0~15 ; +wire \vga_ctrl_inst|Add0~16_combout ; +wire \vga_ctrl_inst|Add0~19 ; +wire \vga_ctrl_inst|Add0~20_combout ; +wire \vga_ctrl_inst|Add0~21 ; +wire \vga_ctrl_inst|Add0~22_combout ; +wire \vga_ctrl_inst|Equal0~1_combout ; +wire \vga_ctrl_inst|cnt_h~0_combout ; +wire \vga_ctrl_inst|Equal0~2_combout ; +wire \vga_ctrl_inst|cnt_h~2_combout ; +wire \vga_ctrl_inst|Add0~17 ; +wire \vga_ctrl_inst|Add0~18_combout ; +wire \vga_ctrl_inst|cnt_h~1_combout ; +wire \vga_ctrl_inst|LessThan4~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add4~0_combout ; +wire \vga_ctrl_inst|Add2~1_cout ; +wire \vga_ctrl_inst|Add2~3_cout ; +wire \vga_ctrl_inst|Add2~5_cout ; +wire \vga_ctrl_inst|Add2~7_cout ; +wire \vga_ctrl_inst|Add2~9_cout ; +wire \vga_ctrl_inst|Add2~11 ; +wire \vga_ctrl_inst|Add2~13 ; +wire \vga_ctrl_inst|Add2~15 ; +wire \vga_ctrl_inst|Add2~17 ; +wire \vga_ctrl_inst|Add2~18_combout ; +wire \vga_ctrl_inst|Add2~16_combout ; +wire \vga_ctrl_inst|Add2~14_combout ; +wire \vga_pic_inst|pix_data~12_combout ; +wire \vga_ctrl_inst|Add2~12_combout ; +wire \vga_ctrl_inst|pix_data_req~5_combout ; +wire \vga_ctrl_inst|Equal0~3_combout ; +wire \vga_ctrl_inst|cnt_v[7]~7_combout ; +wire \vga_ctrl_inst|cnt_v[5]~10_combout ; +wire \vga_ctrl_inst|cnt_v[8]~6_combout ; +wire \vga_ctrl_inst|always1~0_combout ; +wire \vga_ctrl_inst|cnt_v[1]~1_combout ; +wire \vga_ctrl_inst|cnt_v[4]~5_combout ; +wire \vga_ctrl_inst|always1~1_combout ; +wire \vga_ctrl_inst|Add1~0_combout ; +wire \vga_ctrl_inst|cnt_v[0]~2_combout ; +wire \vga_ctrl_inst|cnt_v[3]~3_combout ; +wire \vga_ctrl_inst|always1~2_combout ; +wire \vga_ctrl_inst|cnt_v[11]~0_combout ; +wire \vga_ctrl_inst|cnt_v[9]~9_combout ; +wire \vga_ctrl_inst|cnt_v[6]~8_combout ; +wire \vga_ctrl_inst|Add1~1 ; +wire \vga_ctrl_inst|Add1~3 ; +wire \vga_ctrl_inst|Add1~4_combout ; +wire \vga_ctrl_inst|cnt_v[2]~4_combout ; +wire \vga_ctrl_inst|Add1~5 ; +wire \vga_ctrl_inst|Add1~7 ; +wire \vga_ctrl_inst|Add1~9 ; +wire \vga_ctrl_inst|Add1~11 ; +wire \vga_ctrl_inst|Add1~13 ; +wire \vga_ctrl_inst|Add1~15 ; +wire \vga_ctrl_inst|Add1~17 ; +wire \vga_ctrl_inst|Add1~19 ; +wire \vga_ctrl_inst|Add1~21 ; +wire \vga_ctrl_inst|Add1~22_combout ; +wire \vga_ctrl_inst|cnt_v[11]~11_combout ; +wire \vga_ctrl_inst|pix_data_req~2_combout ; +wire \vga_ctrl_inst|pix_data_req~4_combout ; +wire \vga_ctrl_inst|pix_data_req~6_combout ; +wire \vga_ctrl_inst|pix_data_req~7_combout ; +wire \vga_pic_inst|pix_data[13]~11_combout ; +wire \vga_pic_inst|always0~0_combout ; +wire \vga_pic_inst|pix_data~13_combout ; +wire \vga_pic_inst|pix_data~17_combout ; +wire \vga_pic_inst|pix_data~34_combout ; +wire \vga_pic_inst|pix_data[13]~8_combout ; +wire \vga_pic_inst|pix_data[13]~9_combout ; +wire \vga_pic_inst|pix_data[13]~10_combout ; +wire \vga_pic_inst|pix_data~18_combout ; +wire \vga_ctrl_inst|Add2~19 ; +wire \vga_ctrl_inst|Add2~20_combout ; +wire \vga_ctrl_inst|Add2~10_combout ; +wire \vga_pic_inst|LessThan17~4_combout ; +wire \vga_pic_inst|LessThan17~3_combout ; +wire \vga_pic_inst|LessThan14~0_combout ; +wire \vga_pic_inst|pix_data~19_combout ; +wire \vga_pic_inst|pix_data~20_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add6~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ; +wire \vga_ctrl_inst|LessThan6~0_combout ; +wire \vga_ctrl_inst|pix_data_req~1_combout ; +wire \vga_ctrl_inst|rgb[1]~0_combout ; +wire \vga_ctrl_inst|rgb[2]~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add14~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add19~1 ; +wire \hdmi_ctrl_inst|encode_inst0|Add19~3 ; +wire \hdmi_ctrl_inst|encode_inst0|Add19~5 ; +wire \hdmi_ctrl_inst|encode_inst0|Add19~6_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add22~1 ; +wire \hdmi_ctrl_inst|encode_inst0|Add22~3 ; +wire \hdmi_ctrl_inst|encode_inst0|Add22~5 ; +wire \hdmi_ctrl_inst|encode_inst0|Add22~6_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add19~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add22~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~9_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~10_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add19~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add12~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add22~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add14~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~13_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~14_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add15~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add17~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~15_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add4~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|de_reg1~q ; +wire \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst2|de_reg2~q ; +wire \hdmi_ctrl_inst|encode_inst0|condition_2~combout ; +wire \hdmi_ctrl_inst|encode_inst0|Add16~6_combout ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[3]~14 ; +wire \hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ; +wire \hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ; +wire \vga_ctrl_inst|LessThan0~0_combout ; +wire \vga_ctrl_inst|LessThan0~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|c0_reg1~q ; +wire \hdmi_ctrl_inst|encode_inst2|c0_reg2~q ; +wire \hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out~4_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ; +wire \vga_ctrl_inst|LessThan1~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|c1_reg1~q ; +wire \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst2|c1_reg2~q ; +wire \hdmi_ctrl_inst|encode_inst0|data_out~7_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ; +wire \hdmi_ctrl_inst|encode_inst0|data_out~3_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ; +wire \vga_pic_inst|LessThan17~2_combout ; +wire \vga_pic_inst|pix_data[9]~15_combout ; +wire \vga_pic_inst|pix_data~35_combout ; +wire \vga_pic_inst|pix_data~36_combout ; +wire \vga_pic_inst|pix_data~21_combout ; +wire \vga_pic_inst|pix_data~26_combout ; +wire \vga_ctrl_inst|pix_x[11]~0_combout ; +wire \vga_pic_inst|pix_data~27_combout ; +wire \vga_ctrl_inst|rgb[10]~2_combout ; +wire \vga_pic_inst|pix_data~29_combout ; +wire \vga_pic_inst|pix_data~30_combout ; +wire \vga_pic_inst|pix_data~31_combout ; +wire \vga_ctrl_inst|rgb[6]~4_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add5~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add14~1_combout ; +wire \vga_pic_inst|pix_data~28_combout ; +wire \vga_ctrl_inst|rgb[7]~3_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add13~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add13~1_combout ; +wire \hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add19~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add14~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~1 ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~3 ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~4_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add14~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add23~1 ; +wire \hdmi_ctrl_inst|encode_inst1|Add23~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~1 ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~3 ; +wire \hdmi_ctrl_inst|encode_inst1|Add17~4_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~9_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~10_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~15_combout ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~5 ; +wire \hdmi_ctrl_inst|encode_inst1|Add15~6_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add20~1 ; +wire \hdmi_ctrl_inst|encode_inst1|Add20~3 ; +wire \hdmi_ctrl_inst|encode_inst1|Add20~4_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add23~3 ; +wire \hdmi_ctrl_inst|encode_inst1|Add23~4_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~3_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~4_combout ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|condition_2~combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~11_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~12_combout ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add22~1 ; +wire \hdmi_ctrl_inst|encode_inst1|Add22~3 ; +wire \hdmi_ctrl_inst|encode_inst1|Add22~5 ; +wire \hdmi_ctrl_inst|encode_inst1|Add22~6_combout ; +wire \hdmi_ctrl_inst|encode_inst1|Add16~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[3]~14 ; +wire \hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ; +wire \hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out~1_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out~3_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ; +wire \hdmi_ctrl_inst|encode_inst1|data_out~7_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ; +wire \vga_pic_inst|pix_data~33_combout ; +wire \vga_ctrl_inst|rgb[13]~6_combout ; +wire \vga_pic_inst|pix_data~32_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add6~0_combout ; +wire \vga_ctrl_inst|rgb[12]~5_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add12~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add14~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add12~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add19~1 ; +wire \hdmi_ctrl_inst|encode_inst2|Add19~3 ; +wire \hdmi_ctrl_inst|encode_inst2|Add19~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~5_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~6_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~15_combout ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ; +wire \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|condition_2~combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add19~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~14_combout ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~1 ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~3 ; +wire \hdmi_ctrl_inst|encode_inst2|Add15~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add23~1 ; +wire \hdmi_ctrl_inst|encode_inst2|Add23~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add20~1 ; +wire \hdmi_ctrl_inst|encode_inst2|Add20~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~7_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~8_combout ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~1 ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~3 ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~5 ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~7 ; +wire \hdmi_ctrl_inst|encode_inst2|Add17~8_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add23~3 ; +wire \hdmi_ctrl_inst|encode_inst2|Add23~5 ; +wire \hdmi_ctrl_inst|encode_inst2|Add23~6_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add20~3 ; +wire \hdmi_ctrl_inst|encode_inst2|Add20~5 ; +wire \hdmi_ctrl_inst|encode_inst2|Add20~6_combout ; +wire \hdmi_ctrl_inst|encode_inst2|Add16~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[3]~14 ; +wire \hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ; +wire \hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out~4_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out~7_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ; +wire \hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ; +wire \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ; +wire \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ; +wire [9:0] \hdmi_ctrl_inst|encode_inst0|data_out ; +wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ; +wire [11:0] \vga_ctrl_inst|cnt_v ; +wire [11:0] \vga_ctrl_inst|cnt_h ; +wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s ; +wire [7:0] \hdmi_ctrl_inst|encode_inst0|data_in_reg ; +wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s ; +wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s ; +wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s ; +wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ; +wire [8:0] \hdmi_ctrl_inst|encode_inst0|q_m_reg ; +wire [3:0] \hdmi_ctrl_inst|encode_inst0|q_m_n1 ; +wire [15:0] \vga_pic_inst|pix_data ; +wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ; +wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ; +wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s ; +wire [4:0] \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk ; +wire [3:0] \hdmi_ctrl_inst|encode_inst0|data_in_n1 ; +wire [2:0] \hdmi_ctrl_inst|par_to_ser_inst0|cnt ; +wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s ; +wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ; +wire [3:0] \hdmi_ctrl_inst|encode_inst0|q_m_n0 ; +wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ; +wire [4:0] \hdmi_ctrl_inst|encode_inst0|cnt ; +wire [4:0] \hdmi_ctrl_inst|encode_inst1|cnt ; +wire [9:0] \hdmi_ctrl_inst|encode_inst1|data_out ; +wire [8:0] \hdmi_ctrl_inst|encode_inst1|q_m_reg ; +wire [3:0] \hdmi_ctrl_inst|encode_inst1|q_m_n0 ; +wire [3:0] \hdmi_ctrl_inst|encode_inst1|q_m_n1 ; +wire [7:0] \hdmi_ctrl_inst|encode_inst1|data_in_reg ; +wire [3:0] \hdmi_ctrl_inst|encode_inst1|data_in_n1 ; +wire [4:0] \hdmi_ctrl_inst|encode_inst2|cnt ; +wire [9:0] \hdmi_ctrl_inst|encode_inst2|data_out ; +wire [8:0] \hdmi_ctrl_inst|encode_inst2|q_m_reg ; +wire [3:0] \hdmi_ctrl_inst|encode_inst2|q_m_n0 ; +wire [3:0] \hdmi_ctrl_inst|encode_inst2|q_m_n1 ; +wire [7:0] \hdmi_ctrl_inst|encode_inst2|data_in_reg ; +wire [3:0] \hdmi_ctrl_inst|encode_inst2|data_in_n1 ; +wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout ; +wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s ; +wire [4:0] \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s ; +wire [0:0] \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout ; + +wire [4:0] \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ; + +assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [0]; +assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [1] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [1]; +assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [2] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [2]; +assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [3] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [3]; +assign \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [4] = \clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus [4]; + +// Location: PLL_2 +cycloneive_pll \clk_gen_inst|altpll_component|auto_generated|pll1 ( + .areset(!\sys_rst_n~input_o ), + .pfdena(vcc), + .fbin(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ), + .phaseupdown(gnd), + .phasestep(gnd), + .scandata(gnd), + .scanclk(gnd), + .scanclkena(vcc), + .configupdate(gnd), + .clkswitch(gnd), + .inclk({gnd,\sys_clk~input_o }), + .phasecounterselect(3'b000), + .phasedone(), + .scandataout(), + .scandone(), + .activeclock(), + .locked(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ), + .vcooverrange(), + .vcounderrange(), + .fbout(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_fbout ), + .clk(\clk_gen_inst|altpll_component|auto_generated|pll1_CLK_bus ), + .clkbad()); +// synopsys translate_off +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .auto_settings = "false"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .bandwidth_type = "medium"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_high = 10; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_initial = 1; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_low = 10; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_mode = "even"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c0_ph = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_high = 2; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_initial = 1; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_low = 2; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_mode = "even"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_ph = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c1_use_casc_in = "off"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_high = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_initial = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_low = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_mode = "bypass"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_ph = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c2_use_casc_in = "off"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_high = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_initial = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_low = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_mode = "bypass"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_ph = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c3_use_casc_in = "off"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_high = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_initial = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_low = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_mode = "bypass"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_ph = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .c4_use_casc_in = "off"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .charge_pump_current_bits = 1; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_counter = "c0"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_divide_by = 2; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_duty_cycle = 50; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_multiply_by = 1; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk0_phase_shift = "0"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_counter = "c1"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_divide_by = 2; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_duty_cycle = 50; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_multiply_by = 5; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk1_phase_shift = "0"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_counter = "unused"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_divide_by = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_duty_cycle = 50; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_multiply_by = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk2_phase_shift = "0"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_counter = "unused"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_divide_by = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_duty_cycle = 50; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_multiply_by = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk3_phase_shift = "0"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_counter = "unused"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_divide_by = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_duty_cycle = 50; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_multiply_by = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .clk4_phase_shift = "0"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .compensate_clock = "clock0"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk0_input_frequency = 20000; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .inclk1_input_frequency = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_c_bits = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .loop_filter_r_bits = 27; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m = 10; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_initial = 1; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .m_ph = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .n = 1; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .operation_mode = "normal"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_max = 200000; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pfd_min = 3076; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .pll_compensation_delay = 3334; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .self_reset_on_loss_lock = "off"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .simulation_type = "timing"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .switch_over_type = "auto"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_center = 1538; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_divide_by = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_frequency_control = "auto"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_max = 3333; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_min = 1538; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_multiply_by = 0; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_phase_shift_step = 250; +defparam \clk_gen_inst|altpll_component|auto_generated|pll1 .vco_post_scale = 2; +// synopsys translate_on + +// Location: FF_X40_Y21_N11 +dffeas \hdmi_ctrl_inst|encode_inst1|data_out[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_out [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[0] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X38_Y22_N7 +dffeas \hdmi_ctrl_inst|encode_inst0|cnt[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|cnt [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[0] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X38_Y22_N9 +dffeas \hdmi_ctrl_inst|encode_inst0|cnt[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|cnt [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[1] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X38_Y22_N11 +dffeas \hdmi_ctrl_inst|encode_inst0|cnt[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|cnt [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[2] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y22_N11 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[3] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y23_N11 +dffeas \hdmi_ctrl_inst|encode_inst1|data_out[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_out [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[2] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y23_N13 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (GND))) +// \hdmi_ctrl_inst|encode_inst0|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1])) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add20~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add20~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add20~0 .lut_mask = 16'h66BB; +defparam \hdmi_ctrl_inst|encode_inst0|Add20~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst0|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & +// ((\hdmi_ctrl_inst|encode_inst0|Add20~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|Add20~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & +// (!\hdmi_ctrl_inst|encode_inst0|Add20~1 )))) +// \hdmi_ctrl_inst|encode_inst0|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((!\hdmi_ctrl_inst|encode_inst0|Add20~1 ) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & +// (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst0|Add20~1 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add20~1 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add20~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add20~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add20~2 .lut_mask = 16'h692B; +defparam \hdmi_ctrl_inst|encode_inst0|Add20~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst0|Add20~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst0|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst0|Add20~3 )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add20~3 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add20~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add20~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add20~4 .lut_mask = 16'h5AAF; +defparam \hdmi_ctrl_inst|encode_inst0|Add20~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add20~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst0|Add20~5 + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst0|Add20~5 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add20~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add20~6 .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst0|Add20~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] & VCC)) +// \hdmi_ctrl_inst|encode_inst0|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [0] & \hdmi_ctrl_inst|encode_inst0|q_m_n1 [0])) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [0]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add17~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add17~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst0|Add17~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & +// (!\hdmi_ctrl_inst|encode_inst0|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (!\hdmi_ctrl_inst|encode_inst0|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & ((\hdmi_ctrl_inst|encode_inst0|Add17~1 +// ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst0|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & !\hdmi_ctrl_inst|encode_inst0|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & +// ((!\hdmi_ctrl_inst|encode_inst0|Add17~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add17~1 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add17~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add17~2 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst0|Add17~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] $ (\hdmi_ctrl_inst|encode_inst0|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst0|Add17~3 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst0|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|cnt +// [2] & !\hdmi_ctrl_inst|encode_inst0|Add17~3 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add17~3 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add17~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add17~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add17~4 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst0|Add17~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((\hdmi_ctrl_inst|encode_inst0|Add17~5 ) # (GND))) +// \hdmi_ctrl_inst|encode_inst0|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst0|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3])) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [3]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add17~5 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add17~6_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add17~7 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add17~6 .lut_mask = 16'h3C3F; +defparam \hdmi_ctrl_inst|encode_inst0|Add17~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add17~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add17~8_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst0|Add17~7 ) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst0|Add17~7 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add17~8 .lut_mask = 16'hC3C3; +defparam \hdmi_ctrl_inst|encode_inst0|Add17~8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]) # (GND))) +// \hdmi_ctrl_inst|encode_inst0|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add23~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add23~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add23~0 .lut_mask = 16'h66DD; +defparam \hdmi_ctrl_inst|encode_inst0|Add23~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst0|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & +// (\hdmi_ctrl_inst|encode_inst0|Add23~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst0|Add23~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & +// (!\hdmi_ctrl_inst|encode_inst0|Add23~1 )))) +// \hdmi_ctrl_inst|encode_inst0|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst0|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & +// ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add23~1 )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add23~1 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add23~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add23~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add23~2 .lut_mask = 16'h694D; +defparam \hdmi_ctrl_inst|encode_inst0|Add23~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst0|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst0|Add23~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst0|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst0|Add23~3 )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add23~3 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add23~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add23~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add23~4 .lut_mask = 16'h5A05; +defparam \hdmi_ctrl_inst|encode_inst0|Add23~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add23~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst0|Add23~5 + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst0|Add23~5 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add23~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add23~6 .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst0|Add23~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [0] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0] & VCC)) +// \hdmi_ctrl_inst|encode_inst0|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [0] & \hdmi_ctrl_inst|encode_inst0|q_m_n1 [0])) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [0]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add15~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add15~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add15~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst0|Add15~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & +// (!\hdmi_ctrl_inst|encode_inst0|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst0|cnt [1] & (!\hdmi_ctrl_inst|encode_inst0|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & ((\hdmi_ctrl_inst|encode_inst0|Add15~1 +// ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst0|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & !\hdmi_ctrl_inst|encode_inst0|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1] & +// ((!\hdmi_ctrl_inst|encode_inst0|Add15~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add15~1 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add15~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add15~2 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst0|Add15~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] $ (\hdmi_ctrl_inst|encode_inst0|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst0|Add15~3 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst0|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst0|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst0|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|cnt +// [2] & !\hdmi_ctrl_inst|encode_inst0|Add15~3 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add15~3 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add15~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add15~4 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst0|Add15~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & +// (!\hdmi_ctrl_inst|encode_inst0|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((\hdmi_ctrl_inst|encode_inst0|Add15~5 +// ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst0|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & !\hdmi_ctrl_inst|encode_inst0|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & +// ((!\hdmi_ctrl_inst|encode_inst0|Add15~5 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3])))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [3]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add15~5 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add15~7 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add15~6 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst0|Add15~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add15~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add15~8_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst0|Add15~7 ) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst0|Add15~7 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add15~8 .lut_mask = 16'hC3C3; +defparam \hdmi_ctrl_inst|encode_inst0|Add15~8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & (!\hdmi_ctrl_inst|encode_inst0|Add19~3 & VCC)) +// \hdmi_ctrl_inst|encode_inst0|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [3] & !\hdmi_ctrl_inst|encode_inst0|Add19~3 )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add19~3 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add19~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add19~4 .lut_mask = 16'hA50A; +defparam \hdmi_ctrl_inst|encode_inst0|Add19~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst0|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & (\hdmi_ctrl_inst|encode_inst0|Add22~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst0|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst0|Add22~3 )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add22~3 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add22~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add22~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add22~4 .lut_mask = 16'h5AAF; +defparam \hdmi_ctrl_inst|encode_inst0|Add22~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & (\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & +// (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & +// ((\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst0|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~16_combout & +// ((!\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst0|Add16~15_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~16_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add16~15_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout ), + .combout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~7_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst0|Add16~12_combout $ (\hdmi_ctrl_inst|encode_inst0|Add16~14_combout $ (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst0|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~12_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ) # (!\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~12_combout & +// (\hdmi_ctrl_inst|encode_inst0|Add16~14_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~12_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|cnt[0]~8 ), + .combout(\hdmi_ctrl_inst|encode_inst0|cnt[1]~9_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & (\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & +// (!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & +// ((\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst0|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst0|Add16~8_combout & +// ((!\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst0|Add16~10_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~8_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add16~10_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|cnt[1]~10 ), + .combout(\hdmi_ctrl_inst|encode_inst0|cnt[2]~11_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X40_Y22_N31 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] $ (GND))) +// \hdmi_ctrl_inst|encode_inst1|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add20~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add20~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add20~0 .lut_mask = 16'h66BB; +defparam \hdmi_ctrl_inst|encode_inst1|Add20~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst1|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & +// ((\hdmi_ctrl_inst|encode_inst1|Add20~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|Add20~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & +// (!\hdmi_ctrl_inst|encode_inst1|Add20~1 )))) +// \hdmi_ctrl_inst|encode_inst1|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((!\hdmi_ctrl_inst|encode_inst1|Add20~1 ) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & +// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst1|Add20~1 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add20~1 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add20~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add20~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add20~2 .lut_mask = 16'h692B; +defparam \hdmi_ctrl_inst|encode_inst1|Add20~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst1|Add20~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst1|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst1|Add20~3 )) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add20~3 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add20~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add20~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add20~4 .lut_mask = 16'h3CCF; +defparam \hdmi_ctrl_inst|encode_inst1|Add20~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add20~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst1|Add20~5 + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst1|Add20~5 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add20~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add20~6 .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst1|Add20~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [0] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [0] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & VCC)) +// \hdmi_ctrl_inst|encode_inst1|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [0] & \hdmi_ctrl_inst|encode_inst1|q_m_n1 [0])) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [0]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add17~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add17~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add17~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst1|Add17~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst1|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & +// (!\hdmi_ctrl_inst|encode_inst1|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst1|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & +// ((\hdmi_ctrl_inst|encode_inst1|Add17~1 ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst1|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst1|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((!\hdmi_ctrl_inst|encode_inst1|Add17~1 ) +// # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [1]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add17~1 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add17~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add17~2 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst1|Add17~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst1|cnt [2] $ (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst1|Add17~3 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst1|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 +// [2] & !\hdmi_ctrl_inst|encode_inst1|Add17~3 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [2]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add17~3 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add17~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add17~4 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst1|Add17~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|Add17~5 ) # (GND))) +// \hdmi_ctrl_inst|encode_inst1|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst1|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3])) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add17~5 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add17~6_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add17~7 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add17~6 .lut_mask = 16'h5A5F; +defparam \hdmi_ctrl_inst|encode_inst1|Add17~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add17~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add17~8_combout = \hdmi_ctrl_inst|encode_inst1|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst1|Add17~7 ) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [4]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst1|Add17~7 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add17~8 .lut_mask = 16'hA5A5; +defparam \hdmi_ctrl_inst|encode_inst1|Add17~8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]) # (GND))) +// \hdmi_ctrl_inst|encode_inst1|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1])) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add23~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add23~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add23~0 .lut_mask = 16'h66DD; +defparam \hdmi_ctrl_inst|encode_inst1|Add23~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst1|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst1|Add23~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst1|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst1|Add23~3 )) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add23~3 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add23~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add23~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add23~4 .lut_mask = 16'h3C03; +defparam \hdmi_ctrl_inst|encode_inst1|Add23~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst1|Add23~5 + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst1|Add23~5 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add23~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add23~6 .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst1|Add23~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst1|cnt [1] & (\hdmi_ctrl_inst|encode_inst1|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & +// (!\hdmi_ctrl_inst|encode_inst1|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & ((\hdmi_ctrl_inst|encode_inst1|Add15~1 +// ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst1|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & !\hdmi_ctrl_inst|encode_inst1|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & +// ((!\hdmi_ctrl_inst|encode_inst1|Add15~1 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst1|cnt [1]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add15~1 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add15~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add15~2 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst1|Add15~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst1|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & +// (!\hdmi_ctrl_inst|encode_inst1|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst1|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & +// ((\hdmi_ctrl_inst|encode_inst1|Add15~5 ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst1|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst1|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((!\hdmi_ctrl_inst|encode_inst1|Add15~5 ) +// # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3])))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add15~5 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add15~7 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add15~6 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst1|Add15~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add15~8_combout = \hdmi_ctrl_inst|encode_inst1|cnt [4] $ (!\hdmi_ctrl_inst|encode_inst1|Add15~7 ) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [4]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst1|Add15~7 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add15~8 .lut_mask = 16'hA5A5; +defparam \hdmi_ctrl_inst|encode_inst1|Add15~8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] & VCC)) +// \hdmi_ctrl_inst|encode_inst1|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & \hdmi_ctrl_inst|encode_inst1|cnt [1])) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .datab(\hdmi_ctrl_inst|encode_inst1|cnt [1]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add19~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add19~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add19~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst1|Add19~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [2] & (!\hdmi_ctrl_inst|encode_inst1|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|Add19~1 ) # (GND))) +// \hdmi_ctrl_inst|encode_inst1|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst1|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2])) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [2]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add19~1 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add19~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add19~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add19~2 .lut_mask = 16'h5A5F; +defparam \hdmi_ctrl_inst|encode_inst1|Add19~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & (\hdmi_ctrl_inst|encode_inst1|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & (!\hdmi_ctrl_inst|encode_inst1|Add19~3 & VCC)) +// \hdmi_ctrl_inst|encode_inst1|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [3] & !\hdmi_ctrl_inst|encode_inst1|Add19~3 )) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add19~3 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add19~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add19~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add19~4 .lut_mask = 16'hA50A; +defparam \hdmi_ctrl_inst|encode_inst1|Add19~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add19~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add19~6_combout = \hdmi_ctrl_inst|encode_inst1|Add19~5 $ (\hdmi_ctrl_inst|encode_inst1|cnt [4]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]), + .cin(\hdmi_ctrl_inst|encode_inst1|Add19~5 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add19~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add19~6 .lut_mask = 16'h0FF0; +defparam \hdmi_ctrl_inst|encode_inst1|Add19~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & ((\hdmi_ctrl_inst|encode_inst1|cnt [1]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|cnt [1] $ (VCC))) +// \hdmi_ctrl_inst|encode_inst1|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]) # (\hdmi_ctrl_inst|encode_inst1|cnt [1])) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .datab(\hdmi_ctrl_inst|encode_inst1|cnt [1]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add22~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add22~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add22~0 .lut_mask = 16'h99EE; +defparam \hdmi_ctrl_inst|encode_inst1|Add22~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & (!\hdmi_ctrl_inst|encode_inst1|Add22~1 )) +// \hdmi_ctrl_inst|encode_inst1|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst1|cnt [2] & !\hdmi_ctrl_inst|encode_inst1|Add22~1 )) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [2]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add22~1 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add22~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add22~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add22~2 .lut_mask = 16'hA505; +defparam \hdmi_ctrl_inst|encode_inst1|Add22~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst1|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & (\hdmi_ctrl_inst|encode_inst1|Add22~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst1|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst1|Add22~3 )) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add22~3 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add22~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add22~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add22~4 .lut_mask = 16'h5AAF; +defparam \hdmi_ctrl_inst|encode_inst1|Add22~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X40_Y23_N7 +dffeas \hdmi_ctrl_inst|encode_inst1|data_out[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_out [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add20~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] $ (GND))) +// \hdmi_ctrl_inst|encode_inst2|Add20~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1])) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add20~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add20~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add20~0 .lut_mask = 16'h66BB; +defparam \hdmi_ctrl_inst|encode_inst2|Add20~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add20~4_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|Add20~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst2|Add20~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst2|Add20~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst2|Add20~3 )) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add20~3 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add20~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add20~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add20~4 .lut_mask = 16'h3CCF; +defparam \hdmi_ctrl_inst|encode_inst2|Add20~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add17~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [0] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [0] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & VCC)) +// \hdmi_ctrl_inst|encode_inst2|Add17~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [0] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [0])) + + .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [0]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add17~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add17~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add17~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst2|Add17~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add17~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|Add17~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & +// (!\hdmi_ctrl_inst|encode_inst2|Add17~1 )))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & ((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (!\hdmi_ctrl_inst|encode_inst2|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|Add17~1 +// ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst2|Add17~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & !\hdmi_ctrl_inst|encode_inst2|Add17~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & +// ((!\hdmi_ctrl_inst|encode_inst2|Add17~1 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [1]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add17~1 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add17~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add17~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add17~2 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst2|Add17~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add17~4_combout = ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] $ (\hdmi_ctrl_inst|encode_inst2|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst2|Add17~3 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst2|Add17~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add17~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst2|cnt +// [2] & !\hdmi_ctrl_inst|encode_inst2|Add17~3 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add17~3 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add17~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add17~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add17~4 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst2|Add17~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add17~6_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add17~5 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((\hdmi_ctrl_inst|encode_inst2|Add17~5 ) # (GND))) +// \hdmi_ctrl_inst|encode_inst2|Add17~7 = CARRY((!\hdmi_ctrl_inst|encode_inst2|Add17~5 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3])) + + .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add17~5 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add17~6_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add17~7 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add17~6 .lut_mask = 16'h5A5F; +defparam \hdmi_ctrl_inst|encode_inst2|Add17~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add23~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]) # (GND))) +// \hdmi_ctrl_inst|encode_inst2|Add23~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1])) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add23~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add23~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add23~0 .lut_mask = 16'h66DD; +defparam \hdmi_ctrl_inst|encode_inst2|Add23~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add23~4_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst2|Add23~3 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (\hdmi_ctrl_inst|encode_inst2|Add23~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst2|Add23~5 = CARRY((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & !\hdmi_ctrl_inst|encode_inst2|Add23~3 )) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add23~3 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add23~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add23~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add23~4 .lut_mask = 16'h3C03; +defparam \hdmi_ctrl_inst|encode_inst2|Add23~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add15~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|Add15~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & +// (!\hdmi_ctrl_inst|encode_inst2|Add15~1 )))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & ((\hdmi_ctrl_inst|encode_inst2|cnt [1] & (!\hdmi_ctrl_inst|encode_inst2|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|Add15~1 +// ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst2|Add15~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & !\hdmi_ctrl_inst|encode_inst2|Add15~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & +// ((!\hdmi_ctrl_inst|encode_inst2|Add15~1 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [1]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add15~1 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add15~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add15~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add15~2 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst2|Add15~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst2|cnt [2] $ (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst2|Add15~3 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst2|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 +// [2] & !\hdmi_ctrl_inst|encode_inst2|Add15~3 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [2]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add15~3 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add15~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add15~4 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst2|Add15~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add15~6_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add15~5 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & +// (!\hdmi_ctrl_inst|encode_inst2|Add15~5 )))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((\hdmi_ctrl_inst|encode_inst2|Add15~5 +// ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst2|Add15~7 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & !\hdmi_ctrl_inst|encode_inst2|Add15~5 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3] & +// ((!\hdmi_ctrl_inst|encode_inst2|Add15~5 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3])))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [3]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add15~5 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add15~6_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add15~7 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add15~6 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst2|Add15~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add15~8_combout = \hdmi_ctrl_inst|encode_inst2|Add15~7 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]), + .cin(\hdmi_ctrl_inst|encode_inst2|Add15~7 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add15~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add15~8 .lut_mask = 16'hF00F; +defparam \hdmi_ctrl_inst|encode_inst2|Add15~8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [2] & (!\hdmi_ctrl_inst|encode_inst2|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & ((\hdmi_ctrl_inst|encode_inst2|Add19~1 ) # (GND))) +// \hdmi_ctrl_inst|encode_inst2|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst2|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2])) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add19~1 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add19~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add19~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add19~2 .lut_mask = 16'h3C3F; +defparam \hdmi_ctrl_inst|encode_inst2|Add19~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add19~4_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add19~3 $ (GND))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & (!\hdmi_ctrl_inst|encode_inst2|Add19~3 & VCC)) +// \hdmi_ctrl_inst|encode_inst2|Add19~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [3] & !\hdmi_ctrl_inst|encode_inst2|Add19~3 )) + + .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add19~3 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add19~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add19~4 .lut_mask = 16'hA50A; +defparam \hdmi_ctrl_inst|encode_inst2|Add19~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add19~6_combout = \hdmi_ctrl_inst|encode_inst2|Add19~5 $ (\hdmi_ctrl_inst|encode_inst2|cnt [4]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]), + .cin(\hdmi_ctrl_inst|encode_inst2|Add19~5 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add19~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add19~6 .lut_mask = 16'h0FF0; +defparam \hdmi_ctrl_inst|encode_inst2|Add19~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [1] & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] $ (VCC))) +// \hdmi_ctrl_inst|encode_inst2|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [1]) # (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) + + .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add22~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add22~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add22~0 .lut_mask = 16'h99EE; +defparam \hdmi_ctrl_inst|encode_inst2|Add22~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|cnt [2] & (!\hdmi_ctrl_inst|encode_inst2|Add22~1 )) +// \hdmi_ctrl_inst|encode_inst2|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst2|cnt [2] & !\hdmi_ctrl_inst|encode_inst2|Add22~1 )) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add22~1 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add22~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add22~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add22~2 .lut_mask = 16'hC303; +defparam \hdmi_ctrl_inst|encode_inst2|Add22~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add22~4_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [3] & ((GND) # (!\hdmi_ctrl_inst|encode_inst2|Add22~3 ))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & (\hdmi_ctrl_inst|encode_inst2|Add22~3 $ (GND))) +// \hdmi_ctrl_inst|encode_inst2|Add22~5 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [3]) # (!\hdmi_ctrl_inst|encode_inst2|Add22~3 )) + + .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add22~3 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add22~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add22~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add22~4 .lut_mask = 16'h5AAF; +defparam \hdmi_ctrl_inst|encode_inst2|Add22~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add22~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add22~6_combout = \hdmi_ctrl_inst|encode_inst2|Add22~5 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]), + .cin(\hdmi_ctrl_inst|encode_inst2|Add22~5 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add22~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add22~6 .lut_mask = 16'hF00F; +defparam \hdmi_ctrl_inst|encode_inst2|Add22~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X40_Y24_N11 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[4] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X39_Y22_N19 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[6] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [6]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[6] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[6] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y21_N7 +dffeas \hdmi_ctrl_inst|encode_inst1|data_out[7] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_out [7]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[7] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[7] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N10 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~2 ( +// Equation(s): +// \vga_ctrl_inst|Add0~2_combout = (\vga_ctrl_inst|cnt_h [1] & (!\vga_ctrl_inst|Add0~1 )) # (!\vga_ctrl_inst|cnt_h [1] & ((\vga_ctrl_inst|Add0~1 ) # (GND))) +// \vga_ctrl_inst|Add0~3 = CARRY((!\vga_ctrl_inst|Add0~1 ) # (!\vga_ctrl_inst|cnt_h [1])) + + .dataa(\vga_ctrl_inst|cnt_h [1]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~1 ), + .combout(\vga_ctrl_inst|Add0~2_combout ), + .cout(\vga_ctrl_inst|Add0~3 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~2 .lut_mask = 16'h5A5F; +defparam \vga_ctrl_inst|Add0~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N18 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~10 ( +// Equation(s): +// \vga_ctrl_inst|Add0~10_combout = (\vga_ctrl_inst|cnt_h [5] & (!\vga_ctrl_inst|Add0~9 )) # (!\vga_ctrl_inst|cnt_h [5] & ((\vga_ctrl_inst|Add0~9 ) # (GND))) +// \vga_ctrl_inst|Add0~11 = CARRY((!\vga_ctrl_inst|Add0~9 ) # (!\vga_ctrl_inst|cnt_h [5])) + + .dataa(\vga_ctrl_inst|cnt_h [5]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~9 ), + .combout(\vga_ctrl_inst|Add0~10_combout ), + .cout(\vga_ctrl_inst|Add0~11 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~10 .lut_mask = 16'h5A5F; +defparam \vga_ctrl_inst|Add0~10 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N4 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~2 ( +// Equation(s): +// \vga_ctrl_inst|Add1~2_combout = (\vga_ctrl_inst|cnt_v [1] & (!\vga_ctrl_inst|Add1~1 )) # (!\vga_ctrl_inst|cnt_v [1] & ((\vga_ctrl_inst|Add1~1 ) # (GND))) +// \vga_ctrl_inst|Add1~3 = CARRY((!\vga_ctrl_inst|Add1~1 ) # (!\vga_ctrl_inst|cnt_v [1])) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_v [1]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~1 ), + .combout(\vga_ctrl_inst|Add1~2_combout ), + .cout(\vga_ctrl_inst|Add1~3 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~2 .lut_mask = 16'h3C3F; +defparam \vga_ctrl_inst|Add1~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N8 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~6 ( +// Equation(s): +// \vga_ctrl_inst|Add1~6_combout = (\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|Add1~5 )) # (!\vga_ctrl_inst|cnt_v [3] & ((\vga_ctrl_inst|Add1~5 ) # (GND))) +// \vga_ctrl_inst|Add1~7 = CARRY((!\vga_ctrl_inst|Add1~5 ) # (!\vga_ctrl_inst|cnt_v [3])) + + .dataa(\vga_ctrl_inst|cnt_v [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~5 ), + .combout(\vga_ctrl_inst|Add1~6_combout ), + .cout(\vga_ctrl_inst|Add1~7 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~6 .lut_mask = 16'h5A5F; +defparam \vga_ctrl_inst|Add1~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N10 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~8 ( +// Equation(s): +// \vga_ctrl_inst|Add1~8_combout = (\vga_ctrl_inst|cnt_v [4] & (\vga_ctrl_inst|Add1~7 $ (GND))) # (!\vga_ctrl_inst|cnt_v [4] & (!\vga_ctrl_inst|Add1~7 & VCC)) +// \vga_ctrl_inst|Add1~9 = CARRY((\vga_ctrl_inst|cnt_v [4] & !\vga_ctrl_inst|Add1~7 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_v [4]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~7 ), + .combout(\vga_ctrl_inst|Add1~8_combout ), + .cout(\vga_ctrl_inst|Add1~9 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~8 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add1~8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N12 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~10 ( +// Equation(s): +// \vga_ctrl_inst|Add1~10_combout = (\vga_ctrl_inst|cnt_v [5] & (!\vga_ctrl_inst|Add1~9 )) # (!\vga_ctrl_inst|cnt_v [5] & ((\vga_ctrl_inst|Add1~9 ) # (GND))) +// \vga_ctrl_inst|Add1~11 = CARRY((!\vga_ctrl_inst|Add1~9 ) # (!\vga_ctrl_inst|cnt_v [5])) + + .dataa(\vga_ctrl_inst|cnt_v [5]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~9 ), + .combout(\vga_ctrl_inst|Add1~10_combout ), + .cout(\vga_ctrl_inst|Add1~11 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~10 .lut_mask = 16'h5A5F; +defparam \vga_ctrl_inst|Add1~10 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N14 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~12 ( +// Equation(s): +// \vga_ctrl_inst|Add1~12_combout = (\vga_ctrl_inst|cnt_v [6] & (\vga_ctrl_inst|Add1~11 $ (GND))) # (!\vga_ctrl_inst|cnt_v [6] & (!\vga_ctrl_inst|Add1~11 & VCC)) +// \vga_ctrl_inst|Add1~13 = CARRY((\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|Add1~11 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_v [6]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~11 ), + .combout(\vga_ctrl_inst|Add1~12_combout ), + .cout(\vga_ctrl_inst|Add1~13 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~12 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add1~12 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N16 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~14 ( +// Equation(s): +// \vga_ctrl_inst|Add1~14_combout = (\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|Add1~13 )) # (!\vga_ctrl_inst|cnt_v [7] & ((\vga_ctrl_inst|Add1~13 ) # (GND))) +// \vga_ctrl_inst|Add1~15 = CARRY((!\vga_ctrl_inst|Add1~13 ) # (!\vga_ctrl_inst|cnt_v [7])) + + .dataa(\vga_ctrl_inst|cnt_v [7]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~13 ), + .combout(\vga_ctrl_inst|Add1~14_combout ), + .cout(\vga_ctrl_inst|Add1~15 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~14 .lut_mask = 16'h5A5F; +defparam \vga_ctrl_inst|Add1~14 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N18 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~16 ( +// Equation(s): +// \vga_ctrl_inst|Add1~16_combout = (\vga_ctrl_inst|cnt_v [8] & (\vga_ctrl_inst|Add1~15 $ (GND))) # (!\vga_ctrl_inst|cnt_v [8] & (!\vga_ctrl_inst|Add1~15 & VCC)) +// \vga_ctrl_inst|Add1~17 = CARRY((\vga_ctrl_inst|cnt_v [8] & !\vga_ctrl_inst|Add1~15 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_v [8]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~15 ), + .combout(\vga_ctrl_inst|Add1~16_combout ), + .cout(\vga_ctrl_inst|Add1~17 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~16 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add1~16 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N20 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~18 ( +// Equation(s): +// \vga_ctrl_inst|Add1~18_combout = (\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|Add1~17 )) # (!\vga_ctrl_inst|cnt_v [9] & ((\vga_ctrl_inst|Add1~17 ) # (GND))) +// \vga_ctrl_inst|Add1~19 = CARRY((!\vga_ctrl_inst|Add1~17 ) # (!\vga_ctrl_inst|cnt_v [9])) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_v [9]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~17 ), + .combout(\vga_ctrl_inst|Add1~18_combout ), + .cout(\vga_ctrl_inst|Add1~19 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~18 .lut_mask = 16'h3C3F; +defparam \vga_ctrl_inst|Add1~18 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N22 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~20 ( +// Equation(s): +// \vga_ctrl_inst|Add1~20_combout = (\vga_ctrl_inst|cnt_v [10] & (\vga_ctrl_inst|Add1~19 $ (GND))) # (!\vga_ctrl_inst|cnt_v [10] & (!\vga_ctrl_inst|Add1~19 & VCC)) +// \vga_ctrl_inst|Add1~21 = CARRY((\vga_ctrl_inst|cnt_v [10] & !\vga_ctrl_inst|Add1~19 )) + + .dataa(\vga_ctrl_inst|cnt_v [10]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~19 ), + .combout(\vga_ctrl_inst|Add1~20_combout ), + .cout(\vga_ctrl_inst|Add1~21 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~20 .lut_mask = 16'hA50A; +defparam \vga_ctrl_inst|Add1~20 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X40_Y20_N11 +dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y20_N15 +dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 .lut_mask = 16'h00F0; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N15 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|Add12~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (((\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) # +// (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|condition_3~1 .lut_mask = 16'h0A8E; +defparam \hdmi_ctrl_inst|encode_inst0|condition_3~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal1~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst0|cnt [4] & (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & !\hdmi_ctrl_inst|encode_inst0|cnt [0]))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [4]), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [1]), + .datac(\hdmi_ctrl_inst|encode_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|encode_inst0|cnt [0]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Equal1~0 .lut_mask = 16'h0001; +defparam \hdmi_ctrl_inst|encode_inst0|Equal1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N27 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X39_Y24_N19 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|Add14~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))) # +// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]) # ((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & \hdmi_ctrl_inst|encode_inst2|q_m_n1 [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|condition_3~1 .lut_mask = 16'h4F04; +defparam \hdmi_ctrl_inst|encode_inst2|condition_3~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N31 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out~3_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]) # (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 .lut_mask = 16'hFFF0; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ) # (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add23~6_combout & ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add23~6_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|Add17~8_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~0 .lut_mask = 16'hCCE2; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ) # ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~0_combout +// & (((\hdmi_ctrl_inst|encode_inst0|Add20~6_combout & \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add15~8_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|Add20~6_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~1 .lut_mask = 16'hD8AA; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~3 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & +// ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|Add17~6_combout ))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add23~4_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add23~4_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|Add17~6_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~3 .lut_mask = 16'hF2C2; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~3_combout & (((\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~3_combout +// & (\hdmi_ctrl_inst|encode_inst0|Add20~4_combout & ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add20~4_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add15~6_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|Add16~3_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~4 .lut_mask = 16'hCAF0; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~5 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~5_combout = (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ))) # +// (!\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst0|Add22~4_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|Add22~4_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|Add19~4_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~5 .lut_mask = 16'h3210; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst0|Add20~2_combout )) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add23~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add20~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add23~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~7_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~7 .lut_mask = 16'hFA0C; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~7_combout & ((\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ) # ((!\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~7_combout +// & (((\hdmi_ctrl_inst|encode_inst0|Add17~4_combout & \hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~7_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add15~4_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|Add17~4_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~8 .lut_mask = 16'hD8AA; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~11 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ) # ((\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst0|Add23~0_combout & !\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add17~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add23~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~11_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~11 .lut_mask = 16'hF0AC; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~11 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~12 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~11_combout & ((\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ) # ((!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst0|Add16~11_combout & (((\hdmi_ctrl_inst|encode_inst0|Add20~0_combout & \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~11_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add15~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|Add20~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~12_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~12 .lut_mask = 16'hD8AA; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~12 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~16 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|cnt [0]))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]), + .datad(\hdmi_ctrl_inst|encode_inst0|cnt [0]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~16_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~16 .lut_mask = 16'h5F0A; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~16 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal1~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Equal1~1_combout = (!\hdmi_ctrl_inst|encode_inst0|cnt [3] & \hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst0|cnt [3]), + .datad(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Equal1~1 .lut_mask = 16'h0F00; +defparam \hdmi_ctrl_inst|encode_inst0|Equal1~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add12~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add12~1_combout = (!\hdmi_ctrl_inst|encode_inst0|data_in_reg [4] & \hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add12~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add12~1 .lut_mask = 16'h3300; +defparam \hdmi_ctrl_inst|encode_inst0|Add12~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N7 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out~2_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [3]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out~2 .lut_mask = 16'h995A; +defparam \hdmi_ctrl_inst|encode_inst0|data_out~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N15 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3]))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [4]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [3]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 .lut_mask = 16'hACAC; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ) # (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~6_combout & ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add23~6_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|Add17~8_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~0 .lut_mask = 16'hAAE4; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~0_combout & ((\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ) # ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~0_combout +// & (((\hdmi_ctrl_inst|encode_inst1|Add20~6_combout & \hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add15~8_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add20~6_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|Add16~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~1 .lut_mask = 16'hACF0; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~5 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~5_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3])))) # +// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add22~4_combout & (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add22~4_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~5 .lut_mask = 16'hA4AE; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & \hdmi_ctrl_inst|encode_inst1|Add19~4_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add19~4_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|Add16~5_combout ), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~6 .lut_mask = 16'hF8F8; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & +// ((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add22~2_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add22~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~7_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~7 .lut_mask = 16'hAF44; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~7_combout & (((!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~7_combout & +// (\hdmi_ctrl_inst|encode_inst1|Add19~2_combout & (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add19~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add16~7_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~8 .lut_mask = 16'h2CEC; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~13 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ) # (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~0_combout & ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add23~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add17~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~13_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~13 .lut_mask = 16'hF0CA; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~13 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~14 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~13_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst1|Add16~13_combout & (\hdmi_ctrl_inst|encode_inst1|Add20~0_combout & ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add20~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add16~13_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|Add15~2_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~14_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~14 .lut_mask = 16'hE2CC; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~14 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~16 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|cnt [0]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]), + .datad(\hdmi_ctrl_inst|encode_inst1|cnt [0]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~16_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~16 .lut_mask = 16'h3F0C; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~16 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal2~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Equal2~1_combout = (\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Equal2~1 .lut_mask = 16'h00AA; +defparam \hdmi_ctrl_inst|encode_inst1|Equal2~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N31 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X37_Y21_N1 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out~2_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [2] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [2]), + .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out~2 .lut_mask = 16'hA53C; +defparam \hdmi_ctrl_inst|encode_inst1|data_out~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst2|Add19~6_combout )) # +// (!\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst2|Add22~6_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add19~6_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add22~6_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~2 .lut_mask = 16'hFAFC; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~3 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & +// ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add17~6_combout )) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add23~4_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add17~6_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|Add23~4_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~3 .lut_mask = 16'hE3E0; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~3_combout & ((\hdmi_ctrl_inst|encode_inst2|Add15~6_combout ) # ((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~3_combout +// & (((\hdmi_ctrl_inst|encode_inst2|Add20~4_combout & \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add15~6_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add20~4_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|Add16~3_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~4 .lut_mask = 16'hACF0; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~9 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2])))) # +// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add22~2_combout & ((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add22~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~9_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~9 .lut_mask = 16'hAA4E; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~9 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~10 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~9_combout & (((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2])))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~9_combout & +// (\hdmi_ctrl_inst|encode_inst2|Add19~2_combout & ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add19~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]), + .datac(\hdmi_ctrl_inst|encode_inst2|Add16~9_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~10_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~10 .lut_mask = 16'h3AF0; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~10 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~11 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|Add17~2_combout ) # (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|Add23~0_combout & ((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add23~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add17~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~11_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~11 .lut_mask = 16'hF0CA; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~11 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~12 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~11_combout & (((\hdmi_ctrl_inst|encode_inst2|Add15~2_combout ) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst2|Add16~11_combout & (\hdmi_ctrl_inst|encode_inst2|Add20~0_combout & ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add20~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add15~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|Add16~11_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~12_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~12 .lut_mask = 16'hCAF0; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~12 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~13 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]))) # +// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|Add22~0_combout & !\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]), + .datac(\hdmi_ctrl_inst|encode_inst2|Add22~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~13_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~13 .lut_mask = 16'hAA72; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~13 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~16 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~16_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (\hdmi_ctrl_inst|encode_inst2|cnt [0])) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [0]), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~16_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~16 .lut_mask = 16'h0FCC; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~16 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal2~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Equal2~1_combout = (\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Equal2~1 .lut_mask = 16'h00CC; +defparam \hdmi_ctrl_inst|encode_inst2|Equal2~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add14~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (!\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]), + .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add14~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add14~1 .lut_mask = 16'hC00C; +defparam \hdmi_ctrl_inst|encode_inst2|Add14~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y24_N25 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out~2_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [3]), + .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out~2 .lut_mask = 16'h939C; +defparam \hdmi_ctrl_inst|encode_inst2|data_out~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~3 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out~3_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datac(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out~3 .lut_mask = 16'hF303; +defparam \hdmi_ctrl_inst|encode_inst2|data_out~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N10 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~0 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~0_combout = (!\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|cnt_v [8]))) + + .dataa(\vga_ctrl_inst|cnt_v [9]), + .datab(\vga_ctrl_inst|cnt_v [7]), + .datac(\vga_ctrl_inst|cnt_v [6]), + .datad(\vga_ctrl_inst|cnt_v [8]), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~0 .lut_mask = 16'h0001; +defparam \vga_ctrl_inst|pix_data_req~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X32_Y20_N31 +dffeas \vga_ctrl_inst|cnt_v[10] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[10]~12_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [10]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[10] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[10] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y20_N4 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~3 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~3_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~2_combout & ((\vga_ctrl_inst|always1~0_combout ) # (!\vga_ctrl_inst|cnt_v [9])))) + + .dataa(\vga_ctrl_inst|pix_data_req~1_combout ), + .datab(\vga_ctrl_inst|cnt_v [9]), + .datac(\vga_ctrl_inst|always1~0_combout ), + .datad(\vga_ctrl_inst|pix_data_req~2_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~3_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~3 .lut_mask = 16'hA200; +defparam \vga_ctrl_inst|pix_data_req~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout = \hdmi_ctrl_inst|encode_inst0|data_in_reg [3] $ (\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]), + .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|q_m[3]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 .lut_mask = 16'h0FF0; +defparam \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N11 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~5 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out~5_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_reg [4]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out~5_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out~5 .lut_mask = 16'h995A; +defparam \hdmi_ctrl_inst|encode_inst0|data_out~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N19 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [6])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_out [6]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 .lut_mask = 16'hCFC0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N31 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [5]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y21_N27 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [7])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4]))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [7]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [4]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 .lut_mask = 16'hB8B8; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N21 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out~4_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [4]), + .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out~4 .lut_mask = 16'hA53C; +defparam \hdmi_ctrl_inst|encode_inst1|data_out~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout = \hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|q_m[3]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 .lut_mask = 16'h0FF0; +defparam \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N9 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~5 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out~5_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [4] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [4]), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out~5_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out~5 .lut_mask = 16'hC366; +defparam \hdmi_ctrl_inst|encode_inst2|data_out~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N13 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X37_Y20_N11 +dffeas \vga_ctrl_inst|cnt_h[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~2_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [1]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[1] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N26 +cycloneive_lcell_comb \vga_ctrl_inst|pix_x[10]~1 ( +// Equation(s): +// \vga_ctrl_inst|pix_x[10]~1_combout = (\vga_ctrl_inst|Add2~18_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_ctrl_inst|Add2~18_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_x[10]~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_x[10]~1 .lut_mask = 16'hFF0F; +defparam \vga_ctrl_inst|pix_x[10]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N10 +cycloneive_lcell_comb \vga_pic_inst|always0~1 ( +// Equation(s): +// \vga_pic_inst|always0~1_combout = (\vga_ctrl_inst|Add2~14_combout ) # ((\vga_ctrl_inst|Add2~12_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout )) + + .dataa(\vga_ctrl_inst|Add2~14_combout ), + .datab(gnd), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_ctrl_inst|Add2~12_combout ), + .cin(gnd), + .combout(\vga_pic_inst|always0~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|always0~1 .lut_mask = 16'hFFAF; +defparam \vga_pic_inst|always0~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N12 +cycloneive_lcell_comb \vga_pic_inst|always0~2 ( +// Equation(s): +// \vga_pic_inst|always0~2_combout = (\vga_pic_inst|always0~1_combout ) # ((\vga_ctrl_inst|Add2~18_combout ) # ((\vga_ctrl_inst|Add2~16_combout ) # (\vga_pic_inst|LessThan17~2_combout ))) + + .dataa(\vga_pic_inst|always0~1_combout ), + .datab(\vga_ctrl_inst|Add2~18_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_pic_inst|LessThan17~2_combout ), + .cin(gnd), + .combout(\vga_pic_inst|always0~2_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|always0~2 .lut_mask = 16'hFFFE; +defparam \vga_pic_inst|always0~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N6 +cycloneive_lcell_comb \vga_pic_inst|pix_data[9]~14 ( +// Equation(s): +// \vga_pic_inst|pix_data[9]~14_combout = (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~14_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_ctrl_inst|Add2~14_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data[9]~14_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[9]~14 .lut_mask = 16'h00F0; +defparam \vga_pic_inst|pix_data[9]~14 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N18 +cycloneive_lcell_comb \vga_pic_inst|pix_data~16 ( +// Equation(s): +// \vga_pic_inst|pix_data~16_combout = (\vga_pic_inst|always0~2_combout & (!\vga_pic_inst|pix_data[9]~15_combout & (!\vga_ctrl_inst|pix_x[11]~0_combout & \vga_pic_inst|pix_data[13]~9_combout ))) + + .dataa(\vga_pic_inst|always0~2_combout ), + .datab(\vga_pic_inst|pix_data[9]~15_combout ), + .datac(\vga_ctrl_inst|pix_x[11]~0_combout ), + .datad(\vga_pic_inst|pix_data[13]~9_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~16_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~16 .lut_mask = 16'h0200; +defparam \vga_pic_inst|pix_data~16 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y20_N22 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~8 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~8_combout = (!\vga_ctrl_inst|cnt_v [11] & !\vga_ctrl_inst|cnt_v [10]) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_v [11]), + .datac(\vga_ctrl_inst|cnt_v [10]), + .datad(gnd), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~8_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~8 .lut_mask = 16'h0303; +defparam \vga_ctrl_inst|pix_data_req~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N30 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[10]~12 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[10]~12_combout = (\vga_ctrl_inst|Add1~20_combout & (((!\vga_ctrl_inst|Equal0~3_combout & \vga_ctrl_inst|cnt_v [10])) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~20_combout & (!\vga_ctrl_inst|Equal0~3_combout +// & (\vga_ctrl_inst|cnt_v [10]))) + + .dataa(\vga_ctrl_inst|Add1~20_combout ), + .datab(\vga_ctrl_inst|Equal0~3_combout ), + .datac(\vga_ctrl_inst|cnt_v [10]), + .datad(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[10]~12_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[10]~12 .lut_mask = 16'h30BA; +defparam \vga_ctrl_inst|cnt_v[10]~12 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout = \hdmi_ctrl_inst|encode_inst0|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]), + .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|q_m[4]~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 .lut_mask = 16'h3C3C; +defparam \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out[6]~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 .lut_mask = 16'h33AA; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N23 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out~8_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [8]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[8] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[8] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst0|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [8]), + .datab(gnd), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 .lut_mask = 16'hA0A0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N22 +cycloneive_lcell_comb \vga_pic_inst|LessThan10~0 ( +// Equation(s): +// \vga_pic_inst|LessThan10~0_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~16_combout & ((\vga_pic_inst|LessThan17~2_combout ) # (!\vga_ctrl_inst|Add2~14_combout )))) + + .dataa(\vga_ctrl_inst|pix_data_req~7_combout ), + .datab(\vga_pic_inst|LessThan17~2_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_ctrl_inst|Add2~14_combout ), + .cin(gnd), + .combout(\vga_pic_inst|LessThan10~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|LessThan10~0 .lut_mask = 16'h080A; +defparam \vga_pic_inst|LessThan10~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N24 +cycloneive_lcell_comb \vga_pic_inst|pix_data~22 ( +// Equation(s): +// \vga_pic_inst|pix_data~22_combout = (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~10_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_ctrl_inst|Add2~10_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~22_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~22 .lut_mask = 16'h00F0; +defparam \vga_pic_inst|pix_data~22 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N2 +cycloneive_lcell_comb \vga_pic_inst|pix_data~23 ( +// Equation(s): +// \vga_pic_inst|pix_data~23_combout = (!\vga_ctrl_inst|Add2~14_combout & (\vga_pic_inst|pix_data~22_combout & (!\vga_ctrl_inst|Add2~16_combout & \vga_ctrl_inst|Add2~12_combout ))) + + .dataa(\vga_ctrl_inst|Add2~14_combout ), + .datab(\vga_pic_inst|pix_data~22_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_ctrl_inst|Add2~12_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~23_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~23 .lut_mask = 16'h0400; +defparam \vga_pic_inst|pix_data~23 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N20 +cycloneive_lcell_comb \vga_pic_inst|LessThan14~1 ( +// Equation(s): +// \vga_pic_inst|LessThan14~1_combout = (\vga_ctrl_inst|Add2~12_combout & \vga_ctrl_inst|Add2~10_combout ) + + .dataa(\vga_ctrl_inst|Add2~12_combout ), + .datab(gnd), + .datac(gnd), + .datad(\vga_ctrl_inst|Add2~10_combout ), + .cin(gnd), + .combout(\vga_pic_inst|LessThan14~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|LessThan14~1 .lut_mask = 16'hAA00; +defparam \vga_pic_inst|LessThan14~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N30 +cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~24 ( +// Equation(s): +// \vga_pic_inst|pix_data[13]~24_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_pic_inst|LessThan14~1_combout & (!\vga_ctrl_inst|Add2~16_combout & !\vga_ctrl_inst|Add2~14_combout ))) + + .dataa(\vga_ctrl_inst|pix_data_req~7_combout ), + .datab(\vga_pic_inst|LessThan14~1_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_ctrl_inst|Add2~14_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data[13]~24_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[13]~24 .lut_mask = 16'h0002; +defparam \vga_pic_inst|pix_data[13]~24 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N8 +cycloneive_lcell_comb \vga_pic_inst|pix_data~25 ( +// Equation(s): +// \vga_pic_inst|pix_data~25_combout = (!\vga_ctrl_inst|pix_x[10]~1_combout & ((\vga_pic_inst|pix_data~23_combout ) # ((\vga_pic_inst|LessThan10~0_combout & !\vga_pic_inst|pix_data[13]~24_combout )))) + + .dataa(\vga_pic_inst|LessThan10~0_combout ), + .datab(\vga_ctrl_inst|pix_x[10]~1_combout ), + .datac(\vga_pic_inst|pix_data[13]~24_combout ), + .datad(\vga_pic_inst|pix_data~23_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~25_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~25 .lut_mask = 16'h3302; +defparam \vga_pic_inst|pix_data~25 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout = \hdmi_ctrl_inst|encode_inst1|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]), + .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|q_m[5]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 .lut_mask = 16'hC33C; +defparam \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N7 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [7]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~5 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out~5_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [7] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [7]), + .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out~5_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out~5 .lut_mask = 16'h9A56; +defparam \hdmi_ctrl_inst|encode_inst1|data_out~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N9 +dffeas \hdmi_ctrl_inst|encode_inst1|data_out[9] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|data_out~6_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_out [9]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[9] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[9] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout = (\hdmi_ctrl_inst|encode_inst1|data_out [9] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|data_out [9]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 .lut_mask = 16'hC0C0; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout = \hdmi_ctrl_inst|encode_inst2|data_in_reg [3] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|q_m[4]~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 .lut_mask = 16'h33CC; +defparam \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N23 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out~8_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [8]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[8] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[8] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst2|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [8]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(gnd), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 .lut_mask = 16'h8888; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out~8_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out~8 .lut_mask = 16'h8D8D; +defparam \hdmi_ctrl_inst|encode_inst0|data_out~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst1|data_in_reg [7] $ (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]), + .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|q_m[7]~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 .lut_mask = 16'hC33C; +defparam \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out~6_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst2|c1_reg2~q $ +// ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .datab(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ), + .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out~6 .lut_mask = 16'hEB41; +defparam \hdmi_ctrl_inst|encode_inst1|data_out~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out~8_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )) + + .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .datab(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out~8 .lut_mask = 16'hB1B1; +defparam \hdmi_ctrl_inst|encode_inst2|data_out~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N14 +cycloneive_lcell_comb \vga_pic_inst|pix_data~37 ( +// Equation(s): +// \vga_pic_inst|pix_data~37_combout = ((!\vga_ctrl_inst|Add2~18_combout & (\vga_ctrl_inst|pix_data_req~7_combout & \vga_pic_inst|pix_data~23_combout ))) # (!\vga_pic_inst|pix_data~16_combout ) + + .dataa(\vga_pic_inst|pix_data~16_combout ), + .datab(\vga_ctrl_inst|Add2~18_combout ), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_pic_inst|pix_data~23_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~37_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~37 .lut_mask = 16'h7555; +defparam \vga_pic_inst|pix_data~37 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: CLKCTRL_G8 +cycloneive_clkctrl \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl ( + .ena(vcc), + .inclk({vcc,vcc,vcc,\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [0]}), + .clkselect(2'b00), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk )); +// synopsys translate_off +defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .clock_type = "global clock"; +defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~2_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out~2_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~2_combout + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out~2_combout ), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder .lut_mask = 16'hAAAA; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~2_combout + + .dataa(\hdmi_ctrl_inst|encode_inst2|data_out~2_combout ), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder .lut_mask = 16'hAAAA; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~5_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out~5_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~4_combout + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|data_out~4_combout ), + .datac(gnd), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder .lut_mask = 16'hCCCC; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~5_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out~5_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~5_combout + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out~5_combout ), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder .lut_mask = 16'hAAAA; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y13_N16 +cycloneive_io_obuf \ddc_scl~output ( + .i(vcc), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(ddc_scl), + .obar()); +// synopsys translate_off +defparam \ddc_scl~output .bus_hold = "false"; +defparam \ddc_scl~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y10_N16 +cycloneive_io_obuf \ddc_sda~output ( + .i(vcc), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(ddc_sda), + .obar()); +// synopsys translate_off +defparam \ddc_sda~output .bus_hold = "false"; +defparam \ddc_sda~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y21_N23 +cycloneive_io_obuf \tmds_clk_p~output ( + .i(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(tmds_clk_p), + .obar()); +// synopsys translate_off +defparam \tmds_clk_p~output .bus_hold = "false"; +defparam \tmds_clk_p~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y20_N2 +cycloneive_io_obuf \tmds_clk_n~output ( + .i(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(tmds_clk_n), + .obar()); +// synopsys translate_off +defparam \tmds_clk_n~output .bus_hold = "false"; +defparam \tmds_clk_n~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y22_N16 +cycloneive_io_obuf \tmds_data_p[0]~output ( + .i(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(tmds_data_p[0]), + .obar()); +// synopsys translate_off +defparam \tmds_data_p[0]~output .bus_hold = "false"; +defparam \tmds_data_p[0]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y23_N9 +cycloneive_io_obuf \tmds_data_p[1]~output ( + .i(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(tmds_data_p[1]), + .obar()); +// synopsys translate_off +defparam \tmds_data_p[1]~output .bus_hold = "false"; +defparam \tmds_data_p[1]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y24_N2 +cycloneive_io_obuf \tmds_data_p[2]~output ( + .i(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(tmds_data_p[2]), + .obar()); +// synopsys translate_off +defparam \tmds_data_p[2]~output .bus_hold = "false"; +defparam \tmds_data_p[2]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y22_N23 +cycloneive_io_obuf \tmds_data_n[0]~output ( + .i(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(tmds_data_n[0]), + .obar()); +// synopsys translate_off +defparam \tmds_data_n[0]~output .bus_hold = "false"; +defparam \tmds_data_n[0]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y23_N16 +cycloneive_io_obuf \tmds_data_n[1]~output ( + .i(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(tmds_data_n[1]), + .obar()); +// synopsys translate_off +defparam \tmds_data_n[1]~output .bus_hold = "false"; +defparam \tmds_data_n[1]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X41_Y24_N9 +cycloneive_io_obuf \tmds_data_n[2]~output ( + .i(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(tmds_data_n[2]), + .obar()); +// synopsys translate_off +defparam \tmds_data_n[2]~output .bus_hold = "false"; +defparam \tmds_data_n[2]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout = (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 .lut_mask = 16'h0303; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N25 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|cnt~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [1] $ (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 .lut_mask = 16'h0FF0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N19 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] $ (((\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [1]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [0]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 .lut_mask = 16'h3CF0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N17 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|Add0~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [1]), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 .lut_mask = 16'h00AA; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N13 +dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout = \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N31 +dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) # (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [4]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 .lut_mask = 16'hFCFC; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N5 +dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [3]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 .lut_mask = 16'h00F0; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N3 +dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [2]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 .lut_mask = 16'h00CC; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N29 +dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1] & !\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [1]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 .lut_mask = 16'h00CC; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N23 +dffeas \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] .power_up = "low"; +// synopsys translate_on + +// Location: IOIBUF_X41_Y15_N22 +cycloneive_io_ibuf \sys_clk~input ( + .i(sys_clk), + .ibar(gnd), + .o(\sys_clk~input_o )); +// synopsys translate_off +defparam \sys_clk~input .bus_hold = "false"; +defparam \sys_clk~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: CLKCTRL_G9 +cycloneive_clkctrl \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl ( + .ena(vcc), + .inclk({vcc,vcc,vcc,\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk [1]}), + .clkselect(2'b00), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk )); +// synopsys translate_off +defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl .clock_type = "global clock"; +defparam \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: DDIOOUTCELL_X41_Y21_N25 +cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] ( + .datainlo(\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]), + .datainhi(\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]), + .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clk(gnd), + .ena(vcc), + .areset(gnd), + .sreset(gnd), + .devclrn(devclrn), + .devpor(devpor), + .dataout(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .dfflo(), + .dffhi()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true"; +// synopsys translate_on + +// Location: DDIOOUTCELL_X41_Y20_N4 +cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] ( + .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s [0]), + .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s [0]), + .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clk(gnd), + .ena(vcc), + .areset(gnd), + .sreset(gnd), + .devclrn(devclrn), + .devpor(devpor), + .dataout(\hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .dfflo(), + .dffhi()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N8 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~0 ( +// Equation(s): +// \vga_ctrl_inst|Add0~0_combout = \vga_ctrl_inst|cnt_h [0] $ (VCC) +// \vga_ctrl_inst|Add0~1 = CARRY(\vga_ctrl_inst|cnt_h [0]) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\vga_ctrl_inst|Add0~0_combout ), + .cout(\vga_ctrl_inst|Add0~1 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~0 .lut_mask = 16'h33CC; +defparam \vga_ctrl_inst|Add0~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y26_N0 +cycloneive_lcell_comb \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder ( +// Equation(s): +// \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout = VCC + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(gnd), + .combout(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .lut_mask = 16'hFFFF; +defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: IOIBUF_X41_Y4_N1 +cycloneive_io_ibuf \sys_rst_n~input ( + .i(sys_rst_n), + .ibar(gnd), + .o(\sys_rst_n~input_o )); +// synopsys translate_off +defparam \sys_rst_n~input .bus_hold = "false"; +defparam \sys_rst_n~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: FF_X40_Y26_N1 +dffeas \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ), + .d(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder_combout ), + .asdata(vcc), + .clrn(\sys_rst_n~input_o ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ), + .prn(vcc)); +// synopsys translate_off +defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .is_wysiwyg = "true"; +defparam \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y26_N18 +cycloneive_lcell_comb \rst_n~0 ( +// Equation(s): +// \rst_n~0_combout = ((!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ) # (!\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q )) # (!\sys_rst_n~input_o ) + + .dataa(\sys_rst_n~input_o ), + .datab(\clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~q ), + .datac(gnd), + .datad(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_locked ), + .cin(gnd), + .combout(\rst_n~0_combout ), + .cout()); +// synopsys translate_off +defparam \rst_n~0 .lut_mask = 16'h77FF; +defparam \rst_n~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: CLKCTRL_G7 +cycloneive_clkctrl \rst_n~0clkctrl ( + .ena(vcc), + .inclk({vcc,vcc,vcc,\rst_n~0_combout }), + .clkselect(2'b00), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\rst_n~0clkctrl_outclk )); +// synopsys translate_off +defparam \rst_n~0clkctrl .clock_type = "global clock"; +defparam \rst_n~0clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: FF_X37_Y20_N9 +dffeas \vga_ctrl_inst|cnt_h[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [0]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[0] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N12 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~4 ( +// Equation(s): +// \vga_ctrl_inst|Add0~4_combout = (\vga_ctrl_inst|cnt_h [2] & (\vga_ctrl_inst|Add0~3 $ (GND))) # (!\vga_ctrl_inst|cnt_h [2] & (!\vga_ctrl_inst|Add0~3 & VCC)) +// \vga_ctrl_inst|Add0~5 = CARRY((\vga_ctrl_inst|cnt_h [2] & !\vga_ctrl_inst|Add0~3 )) + + .dataa(\vga_ctrl_inst|cnt_h [2]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~3 ), + .combout(\vga_ctrl_inst|Add0~4_combout ), + .cout(\vga_ctrl_inst|Add0~5 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~4 .lut_mask = 16'hA50A; +defparam \vga_ctrl_inst|Add0~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N14 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~6 ( +// Equation(s): +// \vga_ctrl_inst|Add0~6_combout = (\vga_ctrl_inst|cnt_h [3] & (!\vga_ctrl_inst|Add0~5 )) # (!\vga_ctrl_inst|cnt_h [3] & ((\vga_ctrl_inst|Add0~5 ) # (GND))) +// \vga_ctrl_inst|Add0~7 = CARRY((!\vga_ctrl_inst|Add0~5 ) # (!\vga_ctrl_inst|cnt_h [3])) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [3]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~5 ), + .combout(\vga_ctrl_inst|Add0~6_combout ), + .cout(\vga_ctrl_inst|Add0~7 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~6 .lut_mask = 16'h3C3F; +defparam \vga_ctrl_inst|Add0~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y20_N15 +dffeas \vga_ctrl_inst|cnt_h[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~6_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [3]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[3] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N16 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~8 ( +// Equation(s): +// \vga_ctrl_inst|Add0~8_combout = (\vga_ctrl_inst|cnt_h [4] & (\vga_ctrl_inst|Add0~7 $ (GND))) # (!\vga_ctrl_inst|cnt_h [4] & (!\vga_ctrl_inst|Add0~7 & VCC)) +// \vga_ctrl_inst|Add0~9 = CARRY((\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add0~7 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [4]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~7 ), + .combout(\vga_ctrl_inst|Add0~8_combout ), + .cout(\vga_ctrl_inst|Add0~9 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~8 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add0~8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y20_N17 +dffeas \vga_ctrl_inst|cnt_h[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~8_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [4]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[4] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N20 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~12 ( +// Equation(s): +// \vga_ctrl_inst|Add0~12_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add0~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add0~11 & VCC)) +// \vga_ctrl_inst|Add0~13 = CARRY((\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add0~11 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [6]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~11 ), + .combout(\vga_ctrl_inst|Add0~12_combout ), + .cout(\vga_ctrl_inst|Add0~13 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~12 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add0~12 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y20_N21 +dffeas \vga_ctrl_inst|cnt_h[6] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~12_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [6]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[6] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[6] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N22 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~14 ( +// Equation(s): +// \vga_ctrl_inst|Add0~14_combout = (\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add0~13 )) # (!\vga_ctrl_inst|cnt_h [7] & ((\vga_ctrl_inst|Add0~13 ) # (GND))) +// \vga_ctrl_inst|Add0~15 = CARRY((!\vga_ctrl_inst|Add0~13 ) # (!\vga_ctrl_inst|cnt_h [7])) + + .dataa(\vga_ctrl_inst|cnt_h [7]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~13 ), + .combout(\vga_ctrl_inst|Add0~14_combout ), + .cout(\vga_ctrl_inst|Add0~15 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~14 .lut_mask = 16'h5A5F; +defparam \vga_ctrl_inst|Add0~14 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y20_N23 +dffeas \vga_ctrl_inst|cnt_h[7] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~14_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [7]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[7] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[7] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X37_Y20_N13 +dffeas \vga_ctrl_inst|cnt_h[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~4_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [2]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[2] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N4 +cycloneive_lcell_comb \vga_ctrl_inst|Equal0~0 ( +// Equation(s): +// \vga_ctrl_inst|Equal0~0_combout = (\vga_ctrl_inst|cnt_h [1] & (\vga_ctrl_inst|cnt_h [3] & (\vga_ctrl_inst|cnt_h [0] & \vga_ctrl_inst|cnt_h [2]))) + + .dataa(\vga_ctrl_inst|cnt_h [1]), + .datab(\vga_ctrl_inst|cnt_h [3]), + .datac(\vga_ctrl_inst|cnt_h [0]), + .datad(\vga_ctrl_inst|cnt_h [2]), + .cin(gnd), + .combout(\vga_ctrl_inst|Equal0~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|Equal0~0 .lut_mask = 16'h8000; +defparam \vga_ctrl_inst|Equal0~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N24 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~16 ( +// Equation(s): +// \vga_ctrl_inst|Add0~16_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add0~15 $ (GND))) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add0~15 & VCC)) +// \vga_ctrl_inst|Add0~17 = CARRY((\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add0~15 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [8]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~15 ), + .combout(\vga_ctrl_inst|Add0~16_combout ), + .cout(\vga_ctrl_inst|Add0~17 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~16 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add0~16 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N26 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~18 ( +// Equation(s): +// \vga_ctrl_inst|Add0~18_combout = (\vga_ctrl_inst|cnt_h [9] & (!\vga_ctrl_inst|Add0~17 )) # (!\vga_ctrl_inst|cnt_h [9] & ((\vga_ctrl_inst|Add0~17 ) # (GND))) +// \vga_ctrl_inst|Add0~19 = CARRY((!\vga_ctrl_inst|Add0~17 ) # (!\vga_ctrl_inst|cnt_h [9])) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [9]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~17 ), + .combout(\vga_ctrl_inst|Add0~18_combout ), + .cout(\vga_ctrl_inst|Add0~19 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~18 .lut_mask = 16'h3C3F; +defparam \vga_ctrl_inst|Add0~18 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N28 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~20 ( +// Equation(s): +// \vga_ctrl_inst|Add0~20_combout = (\vga_ctrl_inst|cnt_h [10] & (\vga_ctrl_inst|Add0~19 $ (GND))) # (!\vga_ctrl_inst|cnt_h [10] & (!\vga_ctrl_inst|Add0~19 & VCC)) +// \vga_ctrl_inst|Add0~21 = CARRY((\vga_ctrl_inst|cnt_h [10] & !\vga_ctrl_inst|Add0~19 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [10]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add0~19 ), + .combout(\vga_ctrl_inst|Add0~20_combout ), + .cout(\vga_ctrl_inst|Add0~21 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~20 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add0~20 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y20_N29 +dffeas \vga_ctrl_inst|cnt_h[10] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~20_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [10]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[10] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[10] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N30 +cycloneive_lcell_comb \vga_ctrl_inst|Add0~22 ( +// Equation(s): +// \vga_ctrl_inst|Add0~22_combout = \vga_ctrl_inst|cnt_h [11] $ (\vga_ctrl_inst|Add0~21 ) + + .dataa(\vga_ctrl_inst|cnt_h [11]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\vga_ctrl_inst|Add0~21 ), + .combout(\vga_ctrl_inst|Add0~22_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|Add0~22 .lut_mask = 16'h5A5A; +defparam \vga_ctrl_inst|Add0~22 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y20_N31 +dffeas \vga_ctrl_inst|cnt_h[11] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|Add0~22_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [11]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[11] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[11] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N6 +cycloneive_lcell_comb \vga_ctrl_inst|Equal0~1 ( +// Equation(s): +// \vga_ctrl_inst|Equal0~1_combout = (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|cnt_h [10] & (!\vga_ctrl_inst|cnt_h [11] & \vga_ctrl_inst|cnt_h [9]))) + + .dataa(\vga_ctrl_inst|cnt_h [7]), + .datab(\vga_ctrl_inst|cnt_h [10]), + .datac(\vga_ctrl_inst|cnt_h [11]), + .datad(\vga_ctrl_inst|cnt_h [9]), + .cin(gnd), + .combout(\vga_ctrl_inst|Equal0~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|Equal0~1 .lut_mask = 16'h0100; +defparam \vga_ctrl_inst|Equal0~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N24 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~0 ( +// Equation(s): +// \vga_ctrl_inst|cnt_h~0_combout = (\vga_ctrl_inst|Add0~10_combout & (((!\vga_ctrl_inst|Equal0~2_combout ) # (!\vga_ctrl_inst|Equal0~1_combout )) # (!\vga_ctrl_inst|Equal0~0_combout ))) + + .dataa(\vga_ctrl_inst|Add0~10_combout ), + .datab(\vga_ctrl_inst|Equal0~0_combout ), + .datac(\vga_ctrl_inst|Equal0~1_combout ), + .datad(\vga_ctrl_inst|Equal0~2_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_h~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h~0 .lut_mask = 16'h2AAA; +defparam \vga_ctrl_inst|cnt_h~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X36_Y21_N25 +dffeas \vga_ctrl_inst|cnt_h[5] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_h~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [5]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[5] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[5] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N30 +cycloneive_lcell_comb \vga_ctrl_inst|Equal0~2 ( +// Equation(s): +// \vga_ctrl_inst|Equal0~2_combout = (\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|cnt_h [5] & (\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|cnt_h [6]))) + + .dataa(\vga_ctrl_inst|cnt_h [8]), + .datab(\vga_ctrl_inst|cnt_h [5]), + .datac(\vga_ctrl_inst|cnt_h [4]), + .datad(\vga_ctrl_inst|cnt_h [6]), + .cin(gnd), + .combout(\vga_ctrl_inst|Equal0~2_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|Equal0~2 .lut_mask = 16'h0020; +defparam \vga_ctrl_inst|Equal0~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N2 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~2 ( +// Equation(s): +// \vga_ctrl_inst|cnt_h~2_combout = (\vga_ctrl_inst|Add0~16_combout & (((!\vga_ctrl_inst|Equal0~2_combout ) # (!\vga_ctrl_inst|Equal0~0_combout )) # (!\vga_ctrl_inst|Equal0~1_combout ))) + + .dataa(\vga_ctrl_inst|Equal0~1_combout ), + .datab(\vga_ctrl_inst|Add0~16_combout ), + .datac(\vga_ctrl_inst|Equal0~0_combout ), + .datad(\vga_ctrl_inst|Equal0~2_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_h~2_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h~2 .lut_mask = 16'h4CCC; +defparam \vga_ctrl_inst|cnt_h~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y20_N3 +dffeas \vga_ctrl_inst|cnt_h[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_h~2_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [8]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[8] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[8] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y20_N0 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_h~1 ( +// Equation(s): +// \vga_ctrl_inst|cnt_h~1_combout = (\vga_ctrl_inst|Add0~18_combout & (((!\vga_ctrl_inst|Equal0~2_combout ) # (!\vga_ctrl_inst|Equal0~0_combout )) # (!\vga_ctrl_inst|Equal0~1_combout ))) + + .dataa(\vga_ctrl_inst|Equal0~1_combout ), + .datab(\vga_ctrl_inst|Equal0~0_combout ), + .datac(\vga_ctrl_inst|Add0~18_combout ), + .datad(\vga_ctrl_inst|Equal0~2_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_h~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h~1 .lut_mask = 16'h70F0; +defparam \vga_ctrl_inst|cnt_h~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y20_N1 +dffeas \vga_ctrl_inst|cnt_h[9] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_h~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_h [9]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_h[9] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_h[9] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N22 +cycloneive_lcell_comb \vga_ctrl_inst|LessThan4~0 ( +// Equation(s): +// \vga_ctrl_inst|LessThan4~0_combout = (!\vga_ctrl_inst|cnt_h [5] & (!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|cnt_h [6])) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [5]), + .datac(\vga_ctrl_inst|cnt_h [4]), + .datad(\vga_ctrl_inst|cnt_h [6]), + .cin(gnd), + .combout(\vga_ctrl_inst|LessThan4~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|LessThan4~0 .lut_mask = 16'h0003; +defparam \vga_ctrl_inst|LessThan4~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y20_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add4~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add4~0_combout = (\vga_ctrl_inst|cnt_h [8] & (((!\vga_ctrl_inst|cnt_h [7] & \vga_ctrl_inst|LessThan4~0_combout )) # (!\vga_ctrl_inst|cnt_h [9]))) # (!\vga_ctrl_inst|cnt_h [8] & ((\vga_ctrl_inst|cnt_h [9]) # +// ((\vga_ctrl_inst|cnt_h [7] & !\vga_ctrl_inst|LessThan4~0_combout )))) + + .dataa(\vga_ctrl_inst|cnt_h [8]), + .datab(\vga_ctrl_inst|cnt_h [7]), + .datac(\vga_ctrl_inst|cnt_h [9]), + .datad(\vga_ctrl_inst|LessThan4~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add4~0 .lut_mask = 16'h7A5E; +defparam \hdmi_ctrl_inst|encode_inst0|Add4~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N0 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~1 ( +// Equation(s): +// \vga_ctrl_inst|Add2~1_cout = CARRY((\vga_ctrl_inst|cnt_h [1] & \vga_ctrl_inst|cnt_h [0])) + + .dataa(\vga_ctrl_inst|cnt_h [1]), + .datab(\vga_ctrl_inst|cnt_h [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(), + .cout(\vga_ctrl_inst|Add2~1_cout )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~1 .lut_mask = 16'h0088; +defparam \vga_ctrl_inst|Add2~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N2 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~3 ( +// Equation(s): +// \vga_ctrl_inst|Add2~3_cout = CARRY((!\vga_ctrl_inst|Add2~1_cout ) # (!\vga_ctrl_inst|cnt_h [2])) + + .dataa(\vga_ctrl_inst|cnt_h [2]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~1_cout ), + .combout(), + .cout(\vga_ctrl_inst|Add2~3_cout )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~3 .lut_mask = 16'h005F; +defparam \vga_ctrl_inst|Add2~3 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N4 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~5 ( +// Equation(s): +// \vga_ctrl_inst|Add2~5_cout = CARRY((\vga_ctrl_inst|cnt_h [3] & !\vga_ctrl_inst|Add2~3_cout )) + + .dataa(\vga_ctrl_inst|cnt_h [3]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~3_cout ), + .combout(), + .cout(\vga_ctrl_inst|Add2~5_cout )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~5 .lut_mask = 16'h000A; +defparam \vga_ctrl_inst|Add2~5 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N6 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~7 ( +// Equation(s): +// \vga_ctrl_inst|Add2~7_cout = CARRY((!\vga_ctrl_inst|cnt_h [4] & !\vga_ctrl_inst|Add2~5_cout )) + + .dataa(\vga_ctrl_inst|cnt_h [4]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~5_cout ), + .combout(), + .cout(\vga_ctrl_inst|Add2~7_cout )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~7 .lut_mask = 16'h0005; +defparam \vga_ctrl_inst|Add2~7 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N8 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~9 ( +// Equation(s): +// \vga_ctrl_inst|Add2~9_cout = CARRY((\vga_ctrl_inst|cnt_h [5]) # (!\vga_ctrl_inst|Add2~7_cout )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [5]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~7_cout ), + .combout(), + .cout(\vga_ctrl_inst|Add2~9_cout )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~9 .lut_mask = 16'h00CF; +defparam \vga_ctrl_inst|Add2~9 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N10 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~10 ( +// Equation(s): +// \vga_ctrl_inst|Add2~10_combout = (\vga_ctrl_inst|cnt_h [6] & (\vga_ctrl_inst|Add2~9_cout & VCC)) # (!\vga_ctrl_inst|cnt_h [6] & (!\vga_ctrl_inst|Add2~9_cout )) +// \vga_ctrl_inst|Add2~11 = CARRY((!\vga_ctrl_inst|cnt_h [6] & !\vga_ctrl_inst|Add2~9_cout )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [6]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~9_cout ), + .combout(\vga_ctrl_inst|Add2~10_combout ), + .cout(\vga_ctrl_inst|Add2~11 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~10 .lut_mask = 16'hC303; +defparam \vga_ctrl_inst|Add2~10 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N12 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~12 ( +// Equation(s): +// \vga_ctrl_inst|Add2~12_combout = (\vga_ctrl_inst|cnt_h [7] & (\vga_ctrl_inst|Add2~11 $ (GND))) # (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Add2~11 & VCC)) +// \vga_ctrl_inst|Add2~13 = CARRY((\vga_ctrl_inst|cnt_h [7] & !\vga_ctrl_inst|Add2~11 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [7]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~11 ), + .combout(\vga_ctrl_inst|Add2~12_combout ), + .cout(\vga_ctrl_inst|Add2~13 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~12 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add2~12 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N14 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~14 ( +// Equation(s): +// \vga_ctrl_inst|Add2~14_combout = (\vga_ctrl_inst|cnt_h [8] & (\vga_ctrl_inst|Add2~13 & VCC)) # (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|Add2~13 )) +// \vga_ctrl_inst|Add2~15 = CARRY((!\vga_ctrl_inst|cnt_h [8] & !\vga_ctrl_inst|Add2~13 )) + + .dataa(\vga_ctrl_inst|cnt_h [8]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~13 ), + .combout(\vga_ctrl_inst|Add2~14_combout ), + .cout(\vga_ctrl_inst|Add2~15 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~14 .lut_mask = 16'hA505; +defparam \vga_ctrl_inst|Add2~14 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N16 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~16 ( +// Equation(s): +// \vga_ctrl_inst|Add2~16_combout = (\vga_ctrl_inst|cnt_h [9] & ((GND) # (!\vga_ctrl_inst|Add2~15 ))) # (!\vga_ctrl_inst|cnt_h [9] & (\vga_ctrl_inst|Add2~15 $ (GND))) +// \vga_ctrl_inst|Add2~17 = CARRY((\vga_ctrl_inst|cnt_h [9]) # (!\vga_ctrl_inst|Add2~15 )) + + .dataa(\vga_ctrl_inst|cnt_h [9]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~15 ), + .combout(\vga_ctrl_inst|Add2~16_combout ), + .cout(\vga_ctrl_inst|Add2~17 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~16 .lut_mask = 16'h5AAF; +defparam \vga_ctrl_inst|Add2~16 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N18 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~18 ( +// Equation(s): +// \vga_ctrl_inst|Add2~18_combout = (\vga_ctrl_inst|cnt_h [10] & (\vga_ctrl_inst|Add2~17 & VCC)) # (!\vga_ctrl_inst|cnt_h [10] & (!\vga_ctrl_inst|Add2~17 )) +// \vga_ctrl_inst|Add2~19 = CARRY((!\vga_ctrl_inst|cnt_h [10] & !\vga_ctrl_inst|Add2~17 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_h [10]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add2~17 ), + .combout(\vga_ctrl_inst|Add2~18_combout ), + .cout(\vga_ctrl_inst|Add2~19 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~18 .lut_mask = 16'hC303; +defparam \vga_ctrl_inst|Add2~18 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N0 +cycloneive_lcell_comb \vga_pic_inst|pix_data~12 ( +// Equation(s): +// \vga_pic_inst|pix_data~12_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~18_combout & (\vga_ctrl_inst|Add2~16_combout & !\vga_ctrl_inst|Add2~14_combout ))) + + .dataa(\vga_ctrl_inst|pix_data_req~7_combout ), + .datab(\vga_ctrl_inst|Add2~18_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_ctrl_inst|Add2~14_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~12_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~12 .lut_mask = 16'h0020; +defparam \vga_pic_inst|pix_data~12 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y20_N16 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~5 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~5_combout = \vga_ctrl_inst|cnt_h [8] $ (\vga_ctrl_inst|cnt_h [9]) + + .dataa(\vga_ctrl_inst|cnt_h [8]), + .datab(gnd), + .datac(\vga_ctrl_inst|cnt_h [9]), + .datad(gnd), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~5_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~5 .lut_mask = 16'h5A5A; +defparam \vga_ctrl_inst|pix_data_req~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N2 +cycloneive_lcell_comb \vga_ctrl_inst|Equal0~3 ( +// Equation(s): +// \vga_ctrl_inst|Equal0~3_combout = (\vga_ctrl_inst|Equal0~1_combout & (\vga_ctrl_inst|Equal0~0_combout & \vga_ctrl_inst|Equal0~2_combout )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|Equal0~1_combout ), + .datac(\vga_ctrl_inst|Equal0~0_combout ), + .datad(\vga_ctrl_inst|Equal0~2_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|Equal0~3_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|Equal0~3 .lut_mask = 16'hC000; +defparam \vga_ctrl_inst|Equal0~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N20 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[7]~7 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[7]~7_combout = (\vga_ctrl_inst|Add1~14_combout & (((\vga_ctrl_inst|cnt_v [7] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~14_combout & (((\vga_ctrl_inst|cnt_v [7] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|Add1~14_combout ), + .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [7]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[7]~7_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[7]~7 .lut_mask = 16'h22F2; +defparam \vga_ctrl_inst|cnt_v[7]~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N21 +dffeas \vga_ctrl_inst|cnt_v[7] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[7]~7_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [7]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[7] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[7] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N26 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[5]~10 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[5]~10_combout = (\vga_ctrl_inst|Add1~10_combout & (((!\vga_ctrl_inst|Equal0~3_combout & \vga_ctrl_inst|cnt_v [5])) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~10_combout & (!\vga_ctrl_inst|Equal0~3_combout +// & (\vga_ctrl_inst|cnt_v [5]))) + + .dataa(\vga_ctrl_inst|Add1~10_combout ), + .datab(\vga_ctrl_inst|Equal0~3_combout ), + .datac(\vga_ctrl_inst|cnt_v [5]), + .datad(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[5]~10_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[5]~10 .lut_mask = 16'h30BA; +defparam \vga_ctrl_inst|cnt_v[5]~10 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X32_Y20_N27 +dffeas \vga_ctrl_inst|cnt_v[5] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[5]~10_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [5]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[5] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[5] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N18 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[8]~6 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[8]~6_combout = (\vga_ctrl_inst|Add1~16_combout & (((\vga_ctrl_inst|cnt_v [8] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~16_combout & (((\vga_ctrl_inst|cnt_v [8] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|Add1~16_combout ), + .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [8]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[8]~6_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[8]~6 .lut_mask = 16'h22F2; +defparam \vga_ctrl_inst|cnt_v[8]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N19 +dffeas \vga_ctrl_inst|cnt_v[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[8]~6_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [8]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[8] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[8] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N28 +cycloneive_lcell_comb \vga_ctrl_inst|always1~0 ( +// Equation(s): +// \vga_ctrl_inst|always1~0_combout = (!\vga_ctrl_inst|cnt_v [6] & (!\vga_ctrl_inst|cnt_v [7] & (!\vga_ctrl_inst|cnt_v [5] & !\vga_ctrl_inst|cnt_v [8]))) + + .dataa(\vga_ctrl_inst|cnt_v [6]), + .datab(\vga_ctrl_inst|cnt_v [7]), + .datac(\vga_ctrl_inst|cnt_v [5]), + .datad(\vga_ctrl_inst|cnt_v [8]), + .cin(gnd), + .combout(\vga_ctrl_inst|always1~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|always1~0 .lut_mask = 16'h0001; +defparam \vga_ctrl_inst|always1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N8 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[1]~1 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[1]~1_combout = (\vga_ctrl_inst|Add1~2_combout & (((\vga_ctrl_inst|cnt_v [1] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~2_combout & (((\vga_ctrl_inst|cnt_v [1] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|Add1~2_combout ), + .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [1]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[1]~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[1]~1 .lut_mask = 16'h22F2; +defparam \vga_ctrl_inst|cnt_v[1]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N9 +dffeas \vga_ctrl_inst|cnt_v[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[1]~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [1]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[1] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N0 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[4]~5 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[4]~5_combout = (\vga_ctrl_inst|Add1~8_combout & (((\vga_ctrl_inst|cnt_v [4] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~8_combout & (((\vga_ctrl_inst|cnt_v [4] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|Add1~8_combout ), + .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [4]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[4]~5_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[4]~5 .lut_mask = 16'h22F2; +defparam \vga_ctrl_inst|cnt_v[4]~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N1 +dffeas \vga_ctrl_inst|cnt_v[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[4]~5_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [4]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[4] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N24 +cycloneive_lcell_comb \vga_ctrl_inst|always1~1 ( +// Equation(s): +// \vga_ctrl_inst|always1~1_combout = (\vga_ctrl_inst|pix_data_req~8_combout & (\vga_ctrl_inst|always1~0_combout & (!\vga_ctrl_inst|cnt_v [1] & !\vga_ctrl_inst|cnt_v [4]))) + + .dataa(\vga_ctrl_inst|pix_data_req~8_combout ), + .datab(\vga_ctrl_inst|always1~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [1]), + .datad(\vga_ctrl_inst|cnt_v [4]), + .cin(gnd), + .combout(\vga_ctrl_inst|always1~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|always1~1 .lut_mask = 16'h0008; +defparam \vga_ctrl_inst|always1~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N2 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~0 ( +// Equation(s): +// \vga_ctrl_inst|Add1~0_combout = \vga_ctrl_inst|cnt_v [0] $ (VCC) +// \vga_ctrl_inst|Add1~1 = CARRY(\vga_ctrl_inst|cnt_v [0]) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_v [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\vga_ctrl_inst|Add1~0_combout ), + .cout(\vga_ctrl_inst|Add1~1 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~0 .lut_mask = 16'h33CC; +defparam \vga_ctrl_inst|Add1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N0 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[0]~2 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[0]~2_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [0] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~0_combout ) # ((\vga_ctrl_inst|cnt_v [0] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datab(\vga_ctrl_inst|Add1~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [0]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[0]~2_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[0]~2 .lut_mask = 16'h44F4; +defparam \vga_ctrl_inst|cnt_v[0]~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X32_Y20_N1 +dffeas \vga_ctrl_inst|cnt_v[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[0]~2_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [0]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[0] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N2 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[3]~3 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[3]~3_combout = (\vga_ctrl_inst|Add1~6_combout & (((\vga_ctrl_inst|cnt_v [3] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~6_combout & (((\vga_ctrl_inst|cnt_v [3] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|Add1~6_combout ), + .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [3]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[3]~3_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[3]~3 .lut_mask = 16'h22F2; +defparam \vga_ctrl_inst|cnt_v[3]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N3 +dffeas \vga_ctrl_inst|cnt_v[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[3]~3_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [3]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[3] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N26 +cycloneive_lcell_comb \vga_ctrl_inst|always1~2 ( +// Equation(s): +// \vga_ctrl_inst|always1~2_combout = (\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [0] & \vga_ctrl_inst|cnt_v [3]))) + + .dataa(\vga_ctrl_inst|cnt_v [2]), + .datab(\vga_ctrl_inst|cnt_v [9]), + .datac(\vga_ctrl_inst|cnt_v [0]), + .datad(\vga_ctrl_inst|cnt_v [3]), + .cin(gnd), + .combout(\vga_ctrl_inst|always1~2_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|always1~2 .lut_mask = 16'h0800; +defparam \vga_ctrl_inst|always1~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N4 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[11]~0 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[11]~0_combout = ((\vga_ctrl_inst|always1~1_combout & \vga_ctrl_inst|always1~2_combout )) # (!\vga_ctrl_inst|Equal0~3_combout ) + + .dataa(gnd), + .datab(\vga_ctrl_inst|always1~1_combout ), + .datac(\vga_ctrl_inst|always1~2_combout ), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[11]~0 .lut_mask = 16'hC0FF; +defparam \vga_ctrl_inst|cnt_v[11]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N16 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[9]~9 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[9]~9_combout = (\vga_ctrl_inst|Add1~18_combout & (((\vga_ctrl_inst|cnt_v [9] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~18_combout & (((\vga_ctrl_inst|cnt_v [9] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|Add1~18_combout ), + .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [9]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[9]~9_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[9]~9 .lut_mask = 16'h22F2; +defparam \vga_ctrl_inst|cnt_v[9]~9 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N17 +dffeas \vga_ctrl_inst|cnt_v[9] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[9]~9_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [9]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[9] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[9] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N22 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[6]~8 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[6]~8_combout = (\vga_ctrl_inst|Add1~12_combout & (((\vga_ctrl_inst|cnt_v [6] & !\vga_ctrl_inst|Equal0~3_combout )) # (!\vga_ctrl_inst|cnt_v[11]~0_combout ))) # (!\vga_ctrl_inst|Add1~12_combout & (((\vga_ctrl_inst|cnt_v [6] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|Add1~12_combout ), + .datab(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datac(\vga_ctrl_inst|cnt_v [6]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[6]~8_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[6]~8 .lut_mask = 16'h22F2; +defparam \vga_ctrl_inst|cnt_v[6]~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N23 +dffeas \vga_ctrl_inst|cnt_v[6] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[6]~8_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [6]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[6] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[6] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N6 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~4 ( +// Equation(s): +// \vga_ctrl_inst|Add1~4_combout = (\vga_ctrl_inst|cnt_v [2] & (\vga_ctrl_inst|Add1~3 $ (GND))) # (!\vga_ctrl_inst|cnt_v [2] & (!\vga_ctrl_inst|Add1~3 & VCC)) +// \vga_ctrl_inst|Add1~5 = CARRY((\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|Add1~3 )) + + .dataa(gnd), + .datab(\vga_ctrl_inst|cnt_v [2]), + .datac(gnd), + .datad(vcc), + .cin(\vga_ctrl_inst|Add1~3 ), + .combout(\vga_ctrl_inst|Add1~4_combout ), + .cout(\vga_ctrl_inst|Add1~5 )); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~4 .lut_mask = 16'hC30C; +defparam \vga_ctrl_inst|Add1~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N12 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[2]~4 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[2]~4_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [2] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~4_combout ) # ((\vga_ctrl_inst|cnt_v [2] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datab(\vga_ctrl_inst|Add1~4_combout ), + .datac(\vga_ctrl_inst|cnt_v [2]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[2]~4_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[2]~4 .lut_mask = 16'h44F4; +defparam \vga_ctrl_inst|cnt_v[2]~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N13 +dffeas \vga_ctrl_inst|cnt_v[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[2]~4_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [2]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[2] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N24 +cycloneive_lcell_comb \vga_ctrl_inst|Add1~22 ( +// Equation(s): +// \vga_ctrl_inst|Add1~22_combout = \vga_ctrl_inst|Add1~21 $ (\vga_ctrl_inst|cnt_v [11]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\vga_ctrl_inst|cnt_v [11]), + .cin(\vga_ctrl_inst|Add1~21 ), + .combout(\vga_ctrl_inst|Add1~22_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|Add1~22 .lut_mask = 16'h0FF0; +defparam \vga_ctrl_inst|Add1~22 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y20_N28 +cycloneive_lcell_comb \vga_ctrl_inst|cnt_v[11]~11 ( +// Equation(s): +// \vga_ctrl_inst|cnt_v[11]~11_combout = (\vga_ctrl_inst|cnt_v[11]~0_combout & (((\vga_ctrl_inst|cnt_v [11] & !\vga_ctrl_inst|Equal0~3_combout )))) # (!\vga_ctrl_inst|cnt_v[11]~0_combout & ((\vga_ctrl_inst|Add1~22_combout ) # ((\vga_ctrl_inst|cnt_v [11] & +// !\vga_ctrl_inst|Equal0~3_combout )))) + + .dataa(\vga_ctrl_inst|cnt_v[11]~0_combout ), + .datab(\vga_ctrl_inst|Add1~22_combout ), + .datac(\vga_ctrl_inst|cnt_v [11]), + .datad(\vga_ctrl_inst|Equal0~3_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|cnt_v[11]~11_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[11]~11 .lut_mask = 16'h44F4; +defparam \vga_ctrl_inst|cnt_v[11]~11 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X32_Y20_N29 +dffeas \vga_ctrl_inst|cnt_v[11] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|cnt_v[11]~11_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_ctrl_inst|cnt_v [11]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_ctrl_inst|cnt_v[11] .is_wysiwyg = "true"; +defparam \vga_ctrl_inst|cnt_v[11] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y20_N10 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~2 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~2_combout = (!\vga_ctrl_inst|cnt_v [10] & (!\vga_ctrl_inst|cnt_v [11] & (!\vga_ctrl_inst|cnt_h [11] & !\vga_ctrl_inst|cnt_h [10]))) + + .dataa(\vga_ctrl_inst|cnt_v [10]), + .datab(\vga_ctrl_inst|cnt_v [11]), + .datac(\vga_ctrl_inst|cnt_h [11]), + .datad(\vga_ctrl_inst|cnt_h [10]), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~2_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~2 .lut_mask = 16'h0001; +defparam \vga_ctrl_inst|pix_data_req~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y20_N30 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~4 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~4_combout = (\vga_ctrl_inst|pix_data_req~2_combout & ((\vga_ctrl_inst|always1~0_combout ) # (!\vga_ctrl_inst|cnt_v [9]))) + + .dataa(\vga_ctrl_inst|always1~0_combout ), + .datab(gnd), + .datac(\vga_ctrl_inst|cnt_v [9]), + .datad(\vga_ctrl_inst|pix_data_req~2_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~4_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~4 .lut_mask = 16'hAF00; +defparam \vga_ctrl_inst|pix_data_req~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y20_N2 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~6 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~6_combout = (\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|cnt_h [9] & ((\vga_ctrl_inst|Equal0~0_combout ) # (!\vga_ctrl_inst|LessThan4~0_combout )))) # (!\vga_ctrl_inst|cnt_h [7] & (!\vga_ctrl_inst|Equal0~0_combout & +// (\vga_ctrl_inst|cnt_h [9] & \vga_ctrl_inst|LessThan4~0_combout ))) + + .dataa(\vga_ctrl_inst|Equal0~0_combout ), + .datab(\vga_ctrl_inst|cnt_h [7]), + .datac(\vga_ctrl_inst|cnt_h [9]), + .datad(\vga_ctrl_inst|LessThan4~0_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~6_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~6 .lut_mask = 16'h180C; +defparam \vga_ctrl_inst|pix_data_req~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y20_N28 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~7 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~7_combout = (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~4_combout & ((\vga_ctrl_inst|pix_data_req~5_combout ) # (\vga_ctrl_inst|pix_data_req~6_combout )))) + + .dataa(\vga_ctrl_inst|pix_data_req~1_combout ), + .datab(\vga_ctrl_inst|pix_data_req~5_combout ), + .datac(\vga_ctrl_inst|pix_data_req~4_combout ), + .datad(\vga_ctrl_inst|pix_data_req~6_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~7_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~7 .lut_mask = 16'hA080; +defparam \vga_ctrl_inst|pix_data_req~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N12 +cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~11 ( +// Equation(s): +// \vga_pic_inst|pix_data[13]~11_combout = ((\vga_ctrl_inst|Add2~16_combout ) # ((\vga_ctrl_inst|Add2~14_combout & \vga_ctrl_inst|Add2~12_combout ))) # (!\vga_ctrl_inst|pix_data_req~7_combout ) + + .dataa(\vga_ctrl_inst|Add2~14_combout ), + .datab(\vga_ctrl_inst|pix_data_req~7_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_ctrl_inst|Add2~12_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data[13]~11_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[13]~11 .lut_mask = 16'hFBF3; +defparam \vga_pic_inst|pix_data[13]~11 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N6 +cycloneive_lcell_comb \vga_pic_inst|always0~0 ( +// Equation(s): +// \vga_pic_inst|always0~0_combout = (\vga_ctrl_inst|Add2~16_combout ) # ((\vga_ctrl_inst|Add2~18_combout ) # ((!\vga_pic_inst|pix_data[13]~11_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout ))) + + .dataa(\vga_ctrl_inst|Add2~16_combout ), + .datab(\vga_ctrl_inst|Add2~18_combout ), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_pic_inst|pix_data[13]~11_combout ), + .cin(gnd), + .combout(\vga_pic_inst|always0~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|always0~0 .lut_mask = 16'hEFFF; +defparam \vga_pic_inst|always0~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N2 +cycloneive_lcell_comb \vga_pic_inst|pix_data~13 ( +// Equation(s): +// \vga_pic_inst|pix_data~13_combout = (\vga_pic_inst|LessThan14~0_combout & (((\vga_ctrl_inst|Add2~12_combout )) # (!\vga_pic_inst|pix_data~12_combout ))) # (!\vga_pic_inst|LessThan14~0_combout & (\vga_pic_inst|always0~0_combout & +// ((\vga_ctrl_inst|Add2~12_combout ) # (!\vga_pic_inst|pix_data~12_combout )))) + + .dataa(\vga_pic_inst|LessThan14~0_combout ), + .datab(\vga_pic_inst|pix_data~12_combout ), + .datac(\vga_ctrl_inst|Add2~12_combout ), + .datad(\vga_pic_inst|always0~0_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~13_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~13 .lut_mask = 16'hF3A2; +defparam \vga_pic_inst|pix_data~13 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N28 +cycloneive_lcell_comb \vga_pic_inst|pix_data~17 ( +// Equation(s): +// \vga_pic_inst|pix_data~17_combout = (!\vga_ctrl_inst|Add2~14_combout & (!\vga_ctrl_inst|Add2~16_combout & \vga_ctrl_inst|Add2~12_combout )) + + .dataa(\vga_ctrl_inst|Add2~14_combout ), + .datab(gnd), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_ctrl_inst|Add2~12_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~17_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~17 .lut_mask = 16'h0500; +defparam \vga_pic_inst|pix_data~17 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N10 +cycloneive_lcell_comb \vga_pic_inst|pix_data~34 ( +// Equation(s): +// \vga_pic_inst|pix_data~34_combout = ((!\vga_ctrl_inst|Add2~18_combout & (\vga_ctrl_inst|pix_data_req~7_combout & \vga_pic_inst|pix_data~17_combout ))) # (!\vga_pic_inst|pix_data~16_combout ) + + .dataa(\vga_pic_inst|pix_data~16_combout ), + .datab(\vga_ctrl_inst|Add2~18_combout ), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_pic_inst|pix_data~17_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~34_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~34 .lut_mask = 16'h7555; +defparam \vga_pic_inst|pix_data~34 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N16 +cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~8 ( +// Equation(s): +// \vga_pic_inst|pix_data[13]~8_combout = (!\vga_ctrl_inst|Add2~12_combout ) # (!\vga_ctrl_inst|Add2~14_combout ) + + .dataa(\vga_ctrl_inst|Add2~14_combout ), + .datab(gnd), + .datac(gnd), + .datad(\vga_ctrl_inst|Add2~12_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data[13]~8_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[13]~8 .lut_mask = 16'h55FF; +defparam \vga_pic_inst|pix_data[13]~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N18 +cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~9 ( +// Equation(s): +// \vga_pic_inst|pix_data[13]~9_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~18_combout & (!\vga_ctrl_inst|Add2~16_combout & \vga_pic_inst|pix_data[13]~8_combout ))) + + .dataa(\vga_ctrl_inst|pix_data_req~7_combout ), + .datab(\vga_ctrl_inst|Add2~18_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_pic_inst|pix_data[13]~8_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data[13]~9_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[13]~9 .lut_mask = 16'h0200; +defparam \vga_pic_inst|pix_data[13]~9 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N24 +cycloneive_lcell_comb \vga_pic_inst|pix_data[13]~10 ( +// Equation(s): +// \vga_pic_inst|pix_data[13]~10_combout = (!\vga_ctrl_inst|Add2~20_combout & (\vga_ctrl_inst|pix_data_req~7_combout & \vga_pic_inst|pix_data[13]~9_combout )) + + .dataa(\vga_ctrl_inst|Add2~20_combout ), + .datab(gnd), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_pic_inst|pix_data[13]~9_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data[13]~10_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[13]~10 .lut_mask = 16'h5000; +defparam \vga_pic_inst|pix_data[13]~10 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N8 +cycloneive_lcell_comb \vga_pic_inst|pix_data~18 ( +// Equation(s): +// \vga_pic_inst|pix_data~18_combout = ((!\vga_ctrl_inst|pix_x[11]~0_combout & (!\vga_pic_inst|pix_data~13_combout & !\vga_pic_inst|pix_data[13]~10_combout ))) # (!\vga_pic_inst|pix_data~34_combout ) + + .dataa(\vga_ctrl_inst|pix_x[11]~0_combout ), + .datab(\vga_pic_inst|pix_data~13_combout ), + .datac(\vga_pic_inst|pix_data~34_combout ), + .datad(\vga_pic_inst|pix_data[13]~10_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~18_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~18 .lut_mask = 16'h0F1F; +defparam \vga_pic_inst|pix_data~18 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N9 +dffeas \vga_pic_inst|pix_data[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_pic_inst|pix_data~18_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_pic_inst|pix_data [4]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[4] .is_wysiwyg = "true"; +defparam \vga_pic_inst|pix_data[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N20 +cycloneive_lcell_comb \vga_ctrl_inst|Add2~20 ( +// Equation(s): +// \vga_ctrl_inst|Add2~20_combout = \vga_ctrl_inst|Add2~19 $ (\vga_ctrl_inst|cnt_h [11]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\vga_ctrl_inst|cnt_h [11]), + .cin(\vga_ctrl_inst|Add2~19 ), + .combout(\vga_ctrl_inst|Add2~20_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|Add2~20 .lut_mask = 16'h0FF0; +defparam \vga_ctrl_inst|Add2~20 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N28 +cycloneive_lcell_comb \vga_pic_inst|LessThan17~4 ( +// Equation(s): +// \vga_pic_inst|LessThan17~4_combout = (!\vga_ctrl_inst|Add2~14_combout & (!\vga_ctrl_inst|Add2~10_combout & (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_ctrl_inst|Add2~12_combout ))) + + .dataa(\vga_ctrl_inst|Add2~14_combout ), + .datab(\vga_ctrl_inst|Add2~10_combout ), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_ctrl_inst|Add2~12_combout ), + .cin(gnd), + .combout(\vga_pic_inst|LessThan17~4_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|LessThan17~4 .lut_mask = 16'h0010; +defparam \vga_pic_inst|LessThan17~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N20 +cycloneive_lcell_comb \vga_pic_inst|LessThan17~3 ( +// Equation(s): +// \vga_pic_inst|LessThan17~3_combout = (!\vga_ctrl_inst|Add2~18_combout & (!\vga_ctrl_inst|Add2~20_combout & (\vga_ctrl_inst|Add2~16_combout & \vga_pic_inst|LessThan17~4_combout ))) + + .dataa(\vga_ctrl_inst|Add2~18_combout ), + .datab(\vga_ctrl_inst|Add2~20_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_pic_inst|LessThan17~4_combout ), + .cin(gnd), + .combout(\vga_pic_inst|LessThan17~3_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|LessThan17~3 .lut_mask = 16'h1000; +defparam \vga_pic_inst|LessThan17~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N14 +cycloneive_lcell_comb \vga_pic_inst|LessThan14~0 ( +// Equation(s): +// \vga_pic_inst|LessThan14~0_combout = (\vga_ctrl_inst|Add2~14_combout & (\vga_ctrl_inst|Add2~10_combout & \vga_ctrl_inst|Add2~12_combout )) + + .dataa(\vga_ctrl_inst|Add2~14_combout ), + .datab(gnd), + .datac(\vga_ctrl_inst|Add2~10_combout ), + .datad(\vga_ctrl_inst|Add2~12_combout ), + .cin(gnd), + .combout(\vga_pic_inst|LessThan14~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|LessThan14~0 .lut_mask = 16'hA000; +defparam \vga_pic_inst|LessThan14~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N12 +cycloneive_lcell_comb \vga_pic_inst|pix_data~19 ( +// Equation(s): +// \vga_pic_inst|pix_data~19_combout = (\vga_pic_inst|LessThan17~3_combout ) # ((!\vga_ctrl_inst|pix_x[11]~0_combout & (!\vga_pic_inst|LessThan14~0_combout & !\vga_pic_inst|always0~0_combout ))) + + .dataa(\vga_ctrl_inst|pix_x[11]~0_combout ), + .datab(\vga_pic_inst|LessThan17~3_combout ), + .datac(\vga_pic_inst|LessThan14~0_combout ), + .datad(\vga_pic_inst|always0~0_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~19_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~19 .lut_mask = 16'hCCCD; +defparam \vga_pic_inst|pix_data~19 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N18 +cycloneive_lcell_comb \vga_pic_inst|pix_data~20 ( +// Equation(s): +// \vga_pic_inst|pix_data~20_combout = ((!\vga_pic_inst|pix_data[13]~10_combout & \vga_pic_inst|pix_data~19_combout )) # (!\vga_pic_inst|pix_data~34_combout ) + + .dataa(gnd), + .datab(\vga_pic_inst|pix_data[13]~10_combout ), + .datac(\vga_pic_inst|pix_data~34_combout ), + .datad(\vga_pic_inst|pix_data~19_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~20_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~20 .lut_mask = 16'h3F0F; +defparam \vga_pic_inst|pix_data~20 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N19 +dffeas \vga_pic_inst|pix_data[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_pic_inst|pix_data~20_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_pic_inst|pix_data [0]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[0] .is_wysiwyg = "true"; +defparam \vga_pic_inst|pix_data[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add6~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add6~0_combout = (\vga_ctrl_inst|pix_data_req~3_combout & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_pic_inst|pix_data [4] & \vga_pic_inst|pix_data [0]))) + + .dataa(\vga_ctrl_inst|pix_data_req~3_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .datac(\vga_pic_inst|pix_data [4]), + .datad(\vga_pic_inst|pix_data [0]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add6~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add6~0 .lut_mask = 16'h8000; +defparam \hdmi_ctrl_inst|encode_inst0|Add6~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N11 +dffeas \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|Add6~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2] + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 .lut_mask = 16'h00FF; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N21 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X35_Y22_N27 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N30 +cycloneive_lcell_comb \vga_ctrl_inst|LessThan6~0 ( +// Equation(s): +// \vga_ctrl_inst|LessThan6~0_combout = (!\vga_ctrl_inst|cnt_v [3] & (!\vga_ctrl_inst|cnt_v [2] & ((!\vga_ctrl_inst|cnt_v [1]) # (!\vga_ctrl_inst|cnt_v [0])))) + + .dataa(\vga_ctrl_inst|cnt_v [0]), + .datab(\vga_ctrl_inst|cnt_v [3]), + .datac(\vga_ctrl_inst|cnt_v [1]), + .datad(\vga_ctrl_inst|cnt_v [2]), + .cin(gnd), + .combout(\vga_ctrl_inst|LessThan6~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|LessThan6~0 .lut_mask = 16'h0013; +defparam \vga_ctrl_inst|LessThan6~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N6 +cycloneive_lcell_comb \vga_ctrl_inst|pix_data_req~1 ( +// Equation(s): +// \vga_ctrl_inst|pix_data_req~1_combout = (\vga_ctrl_inst|LessThan6~0_combout & ((\vga_ctrl_inst|cnt_v [4] & ((!\vga_ctrl_inst|always1~0_combout ))) # (!\vga_ctrl_inst|cnt_v [4] & (!\vga_ctrl_inst|pix_data_req~0_combout )))) # +// (!\vga_ctrl_inst|LessThan6~0_combout & (((!\vga_ctrl_inst|always1~0_combout )))) + + .dataa(\vga_ctrl_inst|pix_data_req~0_combout ), + .datab(\vga_ctrl_inst|always1~0_combout ), + .datac(\vga_ctrl_inst|LessThan6~0_combout ), + .datad(\vga_ctrl_inst|cnt_v [4]), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_data_req~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_data_req~1 .lut_mask = 16'h3353; +defparam \vga_ctrl_inst|pix_data_req~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N28 +cycloneive_lcell_comb \vga_ctrl_inst|rgb[1]~0 ( +// Equation(s): +// \vga_ctrl_inst|rgb[1]~0_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [4] & \hdmi_ctrl_inst|encode_inst0|Add4~0_combout ))) + + .dataa(\vga_ctrl_inst|pix_data_req~4_combout ), + .datab(\vga_ctrl_inst|pix_data_req~1_combout ), + .datac(\vga_pic_inst|pix_data [4]), + .datad(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|rgb[1]~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|rgb[1]~0 .lut_mask = 16'h8000; +defparam \vga_ctrl_inst|rgb[1]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N29 +dffeas \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|rgb[1]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N30 +cycloneive_lcell_comb \vga_ctrl_inst|rgb[2]~1 ( +// Equation(s): +// \vga_ctrl_inst|rgb[2]~1_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~1_combout & \vga_pic_inst|pix_data [0]))) + + .dataa(\vga_ctrl_inst|pix_data_req~4_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .datac(\vga_ctrl_inst|pix_data_req~1_combout ), + .datad(\vga_pic_inst|pix_data [0]), + .cin(gnd), + .combout(\vga_ctrl_inst|rgb[2]~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|rgb[2]~1 .lut_mask = 16'h8000; +defparam \vga_ctrl_inst|rgb[2]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N31 +dffeas \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|rgb[2]~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add14~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]) # ((\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]) # (\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2])) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]), + .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]), + .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add14~0 .lut_mask = 16'hFFFC; +defparam \hdmi_ctrl_inst|encode_inst0|Add14~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout = !\hdmi_ctrl_inst|encode_inst0|Add14~0_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 .lut_mask = 16'h00FF; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N9 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & VCC)) +// \hdmi_ctrl_inst|encode_inst0|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [1] & \hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [1]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add19~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add19~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add19~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst0|Add19~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add19~2_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [2] & (!\hdmi_ctrl_inst|encode_inst0|Add19~1 )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|Add19~1 ) # (GND))) +// \hdmi_ctrl_inst|encode_inst0|Add19~3 = CARRY((!\hdmi_ctrl_inst|encode_inst0|Add19~1 ) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2])) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add19~1 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add19~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add19~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add19~2 .lut_mask = 16'h5A5F; +defparam \hdmi_ctrl_inst|encode_inst0|Add19~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add19~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add19~6_combout = \hdmi_ctrl_inst|encode_inst0|cnt [4] $ (\hdmi_ctrl_inst|encode_inst0|Add19~5 ) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|cnt [4]), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst0|Add19~5 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add19~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add19~6 .lut_mask = 16'h3C3C; +defparam \hdmi_ctrl_inst|encode_inst0|Add19~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add22~0_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [1] & ((\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]) # (GND))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] $ (VCC))) +// \hdmi_ctrl_inst|encode_inst0|Add22~1 = CARRY((\hdmi_ctrl_inst|encode_inst0|cnt [1]) # (\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [1]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add22~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add22~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add22~0 .lut_mask = 16'h99EE; +defparam \hdmi_ctrl_inst|encode_inst0|Add22~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add22~2_combout = (\hdmi_ctrl_inst|encode_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|Add22~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst0|cnt [2] & (!\hdmi_ctrl_inst|encode_inst0|Add22~1 )) +// \hdmi_ctrl_inst|encode_inst0|Add22~3 = CARRY((!\hdmi_ctrl_inst|encode_inst0|cnt [2] & !\hdmi_ctrl_inst|encode_inst0|Add22~1 )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|cnt [2]), + .datab(gnd), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|Add22~1 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add22~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|Add22~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add22~2 .lut_mask = 16'hA505; +defparam \hdmi_ctrl_inst|encode_inst0|Add22~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add22~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add22~6_combout = \hdmi_ctrl_inst|encode_inst0|Add22~5 $ (!\hdmi_ctrl_inst|encode_inst0|cnt [4]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|cnt [4]), + .cin(\hdmi_ctrl_inst|encode_inst0|Add22~5 ), + .combout(\hdmi_ctrl_inst|encode_inst0|Add22~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add22~6 .lut_mask = 16'hF00F; +defparam \hdmi_ctrl_inst|encode_inst0|Add22~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst0|Add19~6_combout )) # +// (!\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst0|Add22~6_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|Add19~6_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|Add22~6_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~2 .lut_mask = 16'hFBEA; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 .lut_mask = 16'h33AA; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N17 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|Add14~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~9 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & +// ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add22~2_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add22~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~9_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~9 .lut_mask = 16'hAF44; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~9 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~10 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~9_combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2])) # (!\hdmi_ctrl_inst|encode_inst0|Add16~9_combout & +// ((\hdmi_ctrl_inst|encode_inst0|Add19~2_combout ))))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|Add16~9_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|Add19~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|Add16~9_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~10_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~10 .lut_mask = 16'h5FC0; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~10 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add12~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add12~0_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & ((!\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]))) # (!\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4])) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]), + .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]), + .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add12~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add12~0 .lut_mask = 16'h0CFC; +defparam \hdmi_ctrl_inst|encode_inst0|Add12~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N29 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|Add12~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add14~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst0|data_in_reg [3] & (\hdmi_ctrl_inst|encode_inst0|data_in_reg [4] $ (!\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]), + .datac(\hdmi_ctrl_inst|encode_inst0|data_in_reg [3]), + .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add14~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add14~1 .lut_mask = 16'hC030; +defparam \hdmi_ctrl_inst|encode_inst0|Add14~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N19 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|Add14~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~13 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~13_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & +// ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (\hdmi_ctrl_inst|encode_inst0|Add22~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add22~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~13_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~13 .lut_mask = 16'hAF44; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~13 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~14 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~13_combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~13_combout & +// (\hdmi_ctrl_inst|encode_inst0|Add19~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst0|Add16~13_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add19~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]), + .datad(\hdmi_ctrl_inst|encode_inst0|Add16~13_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~14_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~14 .lut_mask = 16'h5F88; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~14 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y22_N1 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~15 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & ((\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8] & +// (\hdmi_ctrl_inst|encode_inst0|Add15~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add15~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst0|Add17~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~15_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~15 .lut_mask = 16'hA088; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~15 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal2~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])))) # +// (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] $ (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Equal2~0 .lut_mask = 16'h8421; +defparam \hdmi_ctrl_inst|encode_inst0|Equal2~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Equal2~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Equal2~1_combout = (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]), + .datad(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Equal2~1 .lut_mask = 16'h0F00; +defparam \hdmi_ctrl_inst|encode_inst0|Equal2~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ) # (\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Equal1~1_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Equal2~1_combout ), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(), + .cout(\hdmi_ctrl_inst|encode_inst0|cnt[0]~6_cout )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 .lut_mask = 16'h00EE; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst0|Add16~4_combout $ (\hdmi_ctrl_inst|encode_inst0|Add16~6_combout $ (!\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst0|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst0|Add16~4_combout & ((\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ) # (!\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst0|Add16~4_combout & +// (\hdmi_ctrl_inst|encode_inst0|Add16~6_combout & !\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~4_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst0|cnt[2]~12 ), + .combout(\hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ), + .cout(\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add4~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add4~1_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & \hdmi_ctrl_inst|encode_inst0|Add4~0_combout )) + + .dataa(\vga_ctrl_inst|pix_data_req~4_combout ), + .datab(gnd), + .datac(\vga_ctrl_inst|pix_data_req~1_combout ), + .datad(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add4~1 .lut_mask = 16'hA000; +defparam \hdmi_ctrl_inst|encode_inst0|Add4~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N17 +dffeas \hdmi_ctrl_inst|encode_inst2|de_reg1 ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|de_reg1~q ), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|de_reg1 .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|de_reg1 .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout = \hdmi_ctrl_inst|encode_inst2|de_reg1~q + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|de_reg1~q ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N1 +dffeas \hdmi_ctrl_inst|encode_inst2|de_reg2 ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|de_reg2~feeder_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|de_reg2 .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|de_reg2 .power_up = "low"; +// synopsys translate_on + +// Location: FF_X38_Y22_N13 +dffeas \hdmi_ctrl_inst|encode_inst0|cnt[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|cnt[3]~13_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|cnt [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|condition_2~combout = (\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout & (((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & \hdmi_ctrl_inst|encode_inst0|Equal2~0_combout )) # (!\hdmi_ctrl_inst|encode_inst0|cnt [3]))) # +// (!\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Equal1~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]), + .datac(\hdmi_ctrl_inst|encode_inst0|cnt [3]), + .datad(\hdmi_ctrl_inst|encode_inst0|Equal2~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|condition_2 .lut_mask = 16'h3B0A; +defparam \hdmi_ctrl_inst|encode_inst0|condition_2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|Add16~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]) # (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~5_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|Add16~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|Add16~6 .lut_mask = 16'hBFAA; +defparam \hdmi_ctrl_inst|encode_inst0|Add16~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y22_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst0|Add16~1_combout $ (\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst0|Add16~2_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add16~1_combout ), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|Add16~2_combout ), + .cin(\hdmi_ctrl_inst|encode_inst0|cnt[3]~14 ), + .combout(\hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 .lut_mask = 16'hA55A; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X38_Y22_N15 +dffeas \hdmi_ctrl_inst|encode_inst0|cnt[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|cnt[4]~15_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|cnt [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|cnt[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|cnt[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y22_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2] & \hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]))) # +// (!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]) # ((!\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1] & \hdmi_ctrl_inst|encode_inst0|q_m_n0 [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n1 [1]), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [1]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|condition_3~0 .lut_mask = 16'h7150; +defparam \hdmi_ctrl_inst|encode_inst0|condition_3~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y22_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|condition_3~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & (((\hdmi_ctrl_inst|encode_inst0|cnt [4])))) # (!\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst0|cnt [4] & +// ((\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst0|cnt [4] & (\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~1_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_n0 [3]), + .datac(\hdmi_ctrl_inst|encode_inst0|cnt [4]), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_3~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|condition_3~2 .lut_mask = 16'hF2C2; +defparam \hdmi_ctrl_inst|encode_inst0|condition_3~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out~1_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [1] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_reg [1]), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out~1 .lut_mask = 16'h87D2; +defparam \hdmi_ctrl_inst|encode_inst0|data_out~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~1_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out~1_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N28 +cycloneive_lcell_comb \vga_ctrl_inst|LessThan0~0 ( +// Equation(s): +// \vga_ctrl_inst|LessThan0~0_combout = (\vga_ctrl_inst|cnt_h [7]) # ((\vga_ctrl_inst|cnt_h [10]) # ((\vga_ctrl_inst|cnt_h [9]) # (\vga_ctrl_inst|cnt_h [11]))) + + .dataa(\vga_ctrl_inst|cnt_h [7]), + .datab(\vga_ctrl_inst|cnt_h [10]), + .datac(\vga_ctrl_inst|cnt_h [9]), + .datad(\vga_ctrl_inst|cnt_h [11]), + .cin(gnd), + .combout(\vga_ctrl_inst|LessThan0~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|LessThan0~0 .lut_mask = 16'hFFFE; +defparam \vga_ctrl_inst|LessThan0~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N26 +cycloneive_lcell_comb \vga_ctrl_inst|LessThan0~1 ( +// Equation(s): +// \vga_ctrl_inst|LessThan0~1_combout = (!\vga_ctrl_inst|cnt_h [8] & (!\vga_ctrl_inst|LessThan0~0_combout & ((!\vga_ctrl_inst|cnt_h [5]) # (!\vga_ctrl_inst|cnt_h [6])))) + + .dataa(\vga_ctrl_inst|cnt_h [8]), + .datab(\vga_ctrl_inst|cnt_h [6]), + .datac(\vga_ctrl_inst|cnt_h [5]), + .datad(\vga_ctrl_inst|LessThan0~0_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|LessThan0~1_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|LessThan0~1 .lut_mask = 16'h0015; +defparam \vga_ctrl_inst|LessThan0~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X38_Y20_N27 +dffeas \hdmi_ctrl_inst|encode_inst2|c0_reg1 ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|LessThan0~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|c0_reg1~q ), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|c0_reg1 .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|c0_reg1 .power_up = "low"; +// synopsys translate_on + +// Location: FF_X38_Y20_N25 +dffeas \hdmi_ctrl_inst|encode_inst2|c0_reg2 ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg1~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2 .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2 .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y22_N9 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y22_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst0|data_in_reg [4] $ (\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_in_reg [4]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 .lut_mask = 16'h33CC; +defparam \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X35_Y22_N25 +dffeas \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|q_m[7]~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|q_m_reg [5]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y22_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out~4_combout = \hdmi_ctrl_inst|encode_inst0|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst0|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst0|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|condition_3~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|q_m_reg [8]), + .datac(\hdmi_ctrl_inst|encode_inst0|q_m_reg [5]), + .datad(\hdmi_ctrl_inst|encode_inst0|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out~4 .lut_mask = 16'hC35A; +defparam \hdmi_ctrl_inst|encode_inst0|data_out~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst0|data_out~4_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst0|data_out~4_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N29 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[5] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [5]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[5] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[5] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y20_N14 +cycloneive_lcell_comb \vga_ctrl_inst|LessThan1~0 ( +// Equation(s): +// \vga_ctrl_inst|LessThan1~0_combout = (!\vga_ctrl_inst|cnt_v [2] & (!\vga_ctrl_inst|cnt_v [9] & (!\vga_ctrl_inst|cnt_v [3] & \vga_ctrl_inst|always1~1_combout ))) + + .dataa(\vga_ctrl_inst|cnt_v [2]), + .datab(\vga_ctrl_inst|cnt_v [9]), + .datac(\vga_ctrl_inst|cnt_v [3]), + .datad(\vga_ctrl_inst|always1~1_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|LessThan1~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|LessThan1~0 .lut_mask = 16'h0100; +defparam \vga_ctrl_inst|LessThan1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X33_Y20_N15 +dffeas \hdmi_ctrl_inst|encode_inst2|c1_reg1 ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|LessThan1~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|c1_reg1~q ), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|c1_reg1 .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|c1_reg1 .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout = \hdmi_ctrl_inst|encode_inst2|c1_reg1~q + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|c1_reg1~q ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N5 +dffeas \hdmi_ctrl_inst|encode_inst2|c1_reg2 ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2 .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|c1_reg2 .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst2|c0_reg2~q $ +// (!\hdmi_ctrl_inst|encode_inst2|c1_reg2~q )))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datac(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .datad(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out~7_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out~7 .lut_mask = 16'hACA3; +defparam \hdmi_ctrl_inst|encode_inst0|data_out~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N5 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[9] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out~7_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [9]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[9] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[9] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout = (\hdmi_ctrl_inst|encode_inst0|data_out [9] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_out [9]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 .lut_mask = 16'hC0C0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N1 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_out [5]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 .lut_mask = 16'hCFC0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N13 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_out [5]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 .lut_mask = 16'hCFC0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N7 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2]))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|data_out [3]), + .datab(gnd), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 .lut_mask = 16'hAFA0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N3 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [1])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst0|data_out [1]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [1]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 .lut_mask = 16'hCFC0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N25 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X39_Y22_N25 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst0|data_out~3 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst0|data_out~3_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|data_out[0]~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datac(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst0|data_out~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out~3 .lut_mask = 16'hA3A3; +defparam \hdmi_ctrl_inst|encode_inst0|data_out~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N17 +dffeas \hdmi_ctrl_inst|encode_inst0|data_out[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst0|data_out~3_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst0|data_out [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst0|data_out[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst0|data_out[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y22_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst0|data_out [2]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2])) + + .dataa(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [2]), + .datab(\hdmi_ctrl_inst|encode_inst0|data_out [2]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 .lut_mask = 16'hCACA; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y22_N21 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y20_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst0|data_out [0])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(\hdmi_ctrl_inst|encode_inst0|data_out [0]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [1]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 .lut_mask = 16'hF3C0; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y20_N9 +dffeas \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] .power_up = "low"; +// synopsys translate_on + +// Location: DDIOOUTCELL_X41_Y22_N18 +cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] ( + .datainlo(\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]), + .datainhi(\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]), + .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clk(gnd), + .ena(vcc), + .areset(gnd), + .sreset(gnd), + .devclrn(devclrn), + .devpor(devpor), + .dataout(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .dfflo(), + .dffhi()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N16 +cycloneive_lcell_comb \vga_pic_inst|LessThan17~2 ( +// Equation(s): +// \vga_pic_inst|LessThan17~2_combout = (!\vga_ctrl_inst|Add2~12_combout & (!\vga_ctrl_inst|Add2~10_combout & \vga_ctrl_inst|pix_data_req~7_combout )) + + .dataa(\vga_ctrl_inst|Add2~12_combout ), + .datab(\vga_ctrl_inst|Add2~10_combout ), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(gnd), + .cin(gnd), + .combout(\vga_pic_inst|LessThan17~2_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|LessThan17~2 .lut_mask = 16'h1010; +defparam \vga_pic_inst|LessThan17~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N24 +cycloneive_lcell_comb \vga_pic_inst|pix_data[9]~15 ( +// Equation(s): +// \vga_pic_inst|pix_data[9]~15_combout = (\vga_pic_inst|pix_data[9]~14_combout & (!\vga_ctrl_inst|Add2~18_combout & (!\vga_ctrl_inst|Add2~16_combout & \vga_pic_inst|LessThan17~2_combout ))) + + .dataa(\vga_pic_inst|pix_data[9]~14_combout ), + .datab(\vga_ctrl_inst|Add2~18_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_pic_inst|LessThan17~2_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data[9]~15_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[9]~15 .lut_mask = 16'h0200; +defparam \vga_pic_inst|pix_data[9]~15 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y20_N4 +cycloneive_lcell_comb \vga_pic_inst|pix_data~35 ( +// Equation(s): +// \vga_pic_inst|pix_data~35_combout = (\vga_pic_inst|LessThan10~0_combout ) # ((\vga_ctrl_inst|Add2~18_combout ) # ((\vga_pic_inst|pix_data[13]~11_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout ))) + + .dataa(\vga_pic_inst|LessThan10~0_combout ), + .datab(\vga_ctrl_inst|Add2~18_combout ), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_pic_inst|pix_data[13]~11_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~35_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~35 .lut_mask = 16'hFFEF; +defparam \vga_pic_inst|pix_data~35 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N30 +cycloneive_lcell_comb \vga_pic_inst|pix_data~36 ( +// Equation(s): +// \vga_pic_inst|pix_data~36_combout = (\vga_pic_inst|always0~2_combout & (!\vga_ctrl_inst|Add2~20_combout & (\vga_ctrl_inst|pix_data_req~7_combout & !\vga_pic_inst|pix_data[9]~15_combout ))) + + .dataa(\vga_pic_inst|always0~2_combout ), + .datab(\vga_ctrl_inst|Add2~20_combout ), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_pic_inst|pix_data[9]~15_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~36_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~36 .lut_mask = 16'h0020; +defparam \vga_pic_inst|pix_data~36 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N6 +cycloneive_lcell_comb \vga_pic_inst|pix_data~21 ( +// Equation(s): +// \vga_pic_inst|pix_data~21_combout = (\vga_pic_inst|pix_data~12_combout & (!\vga_ctrl_inst|Add2~12_combout & ((\vga_pic_inst|LessThan14~0_combout ) # (\vga_pic_inst|always0~0_combout )))) + + .dataa(\vga_pic_inst|LessThan14~0_combout ), + .datab(\vga_pic_inst|pix_data~12_combout ), + .datac(\vga_ctrl_inst|Add2~12_combout ), + .datad(\vga_pic_inst|always0~0_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~21_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~21 .lut_mask = 16'h0C08; +defparam \vga_pic_inst|pix_data~21 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N22 +cycloneive_lcell_comb \vga_pic_inst|pix_data~26 ( +// Equation(s): +// \vga_pic_inst|pix_data~26_combout = (\vga_pic_inst|pix_data~36_combout & ((\vga_pic_inst|pix_data~25_combout ) # ((\vga_pic_inst|pix_data~35_combout & \vga_pic_inst|pix_data~21_combout )))) + + .dataa(\vga_pic_inst|pix_data~25_combout ), + .datab(\vga_pic_inst|pix_data~35_combout ), + .datac(\vga_pic_inst|pix_data~36_combout ), + .datad(\vga_pic_inst|pix_data~21_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~26_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~26 .lut_mask = 16'hE0A0; +defparam \vga_pic_inst|pix_data~26 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y23_N8 +cycloneive_lcell_comb \vga_ctrl_inst|pix_x[11]~0 ( +// Equation(s): +// \vga_ctrl_inst|pix_x[11]~0_combout = (\vga_ctrl_inst|Add2~20_combout ) # (!\vga_ctrl_inst|pix_data_req~7_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_ctrl_inst|Add2~20_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|pix_x[11]~0_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|pix_x[11]~0 .lut_mask = 16'hFF0F; +defparam \vga_ctrl_inst|pix_x[11]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N8 +cycloneive_lcell_comb \vga_pic_inst|pix_data~27 ( +// Equation(s): +// \vga_pic_inst|pix_data~27_combout = (\vga_pic_inst|pix_data~26_combout ) # ((!\vga_pic_inst|always0~2_combout & (!\vga_pic_inst|pix_data[9]~15_combout & !\vga_ctrl_inst|pix_x[11]~0_combout ))) + + .dataa(\vga_pic_inst|always0~2_combout ), + .datab(\vga_pic_inst|pix_data[9]~15_combout ), + .datac(\vga_pic_inst|pix_data~26_combout ), + .datad(\vga_ctrl_inst|pix_x[11]~0_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~27_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~27 .lut_mask = 16'hF0F1; +defparam \vga_pic_inst|pix_data~27 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X38_Y21_N9 +dffeas \vga_pic_inst|pix_data[10] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_pic_inst|pix_data~27_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_pic_inst|pix_data [10]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[10] .is_wysiwyg = "true"; +defparam \vga_pic_inst|pix_data[10] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N14 +cycloneive_lcell_comb \vga_ctrl_inst|rgb[10]~2 ( +// Equation(s): +// \vga_ctrl_inst|rgb[10]~2_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_pic_inst|pix_data [10] & \vga_ctrl_inst|pix_data_req~1_combout ))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .datab(\vga_ctrl_inst|pix_data_req~4_combout ), + .datac(\vga_pic_inst|pix_data [10]), + .datad(\vga_ctrl_inst|pix_data_req~1_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|rgb[10]~2_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|rgb[10]~2 .lut_mask = 16'h8000; +defparam \vga_ctrl_inst|rgb[10]~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N15 +dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|rgb[10]~2_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N0 +cycloneive_lcell_comb \vga_pic_inst|pix_data~29 ( +// Equation(s): +// \vga_pic_inst|pix_data~29_combout = (\vga_ctrl_inst|pix_data_req~7_combout & ((\vga_ctrl_inst|Add2~14_combout & (!\vga_ctrl_inst|Add2~10_combout & !\vga_ctrl_inst|Add2~12_combout )) # (!\vga_ctrl_inst|Add2~14_combout & +// ((\vga_ctrl_inst|Add2~12_combout ))))) + + .dataa(\vga_ctrl_inst|Add2~14_combout ), + .datab(\vga_ctrl_inst|Add2~10_combout ), + .datac(\vga_ctrl_inst|pix_data_req~7_combout ), + .datad(\vga_ctrl_inst|Add2~12_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~29_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~29 .lut_mask = 16'h5020; +defparam \vga_pic_inst|pix_data~29 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N26 +cycloneive_lcell_comb \vga_pic_inst|pix_data~30 ( +// Equation(s): +// \vga_pic_inst|pix_data~30_combout = (\vga_ctrl_inst|pix_data_req~7_combout & (!\vga_ctrl_inst|Add2~20_combout & (!\vga_ctrl_inst|Add2~16_combout & !\vga_ctrl_inst|Add2~18_combout ))) + + .dataa(\vga_ctrl_inst|pix_data_req~7_combout ), + .datab(\vga_ctrl_inst|Add2~20_combout ), + .datac(\vga_ctrl_inst|Add2~16_combout ), + .datad(\vga_ctrl_inst|Add2~18_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~30_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~30 .lut_mask = 16'h0002; +defparam \vga_pic_inst|pix_data~30 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N4 +cycloneive_lcell_comb \vga_pic_inst|pix_data~31 ( +// Equation(s): +// \vga_pic_inst|pix_data~31_combout = (\vga_pic_inst|LessThan17~3_combout ) # ((\vga_pic_inst|pix_data~29_combout & \vga_pic_inst|pix_data~30_combout )) + + .dataa(gnd), + .datab(\vga_pic_inst|pix_data~29_combout ), + .datac(\vga_pic_inst|pix_data~30_combout ), + .datad(\vga_pic_inst|LessThan17~3_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~31_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~31 .lut_mask = 16'hFFC0; +defparam \vga_pic_inst|pix_data~31 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X38_Y21_N5 +dffeas \vga_pic_inst|pix_data[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_pic_inst|pix_data~31_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_pic_inst|pix_data [8]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[8] .is_wysiwyg = "true"; +defparam \vga_pic_inst|pix_data[8] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N4 +cycloneive_lcell_comb \vga_ctrl_inst|rgb[6]~4 ( +// Equation(s): +// \vga_ctrl_inst|rgb[6]~4_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~4_combout & \vga_pic_inst|pix_data [8]))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .datab(\vga_ctrl_inst|pix_data_req~1_combout ), + .datac(\vga_ctrl_inst|pix_data_req~4_combout ), + .datad(\vga_pic_inst|pix_data [8]), + .cin(gnd), + .combout(\vga_ctrl_inst|rgb[6]~4_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|rgb[6]~4 .lut_mask = 16'h8000; +defparam \vga_ctrl_inst|rgb[6]~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N5 +dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|rgb[6]~4_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add5~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add5~0_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~1_combout & (\vga_pic_inst|pix_data [8] & ((\vga_pic_inst|pix_data [9]) # (\vga_pic_inst|pix_data [10])))) + + .dataa(\vga_pic_inst|pix_data [9]), + .datab(\hdmi_ctrl_inst|encode_inst0|Add4~1_combout ), + .datac(\vga_pic_inst|pix_data [10]), + .datad(\vga_pic_inst|pix_data [8]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add5~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add5~0 .lut_mask = 16'hC800; +defparam \hdmi_ctrl_inst|encode_inst1|Add5~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N27 +dffeas \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|Add5~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add14~1_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])))) # +// (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] $ (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]), + .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]), + .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add14~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add14~1 .lut_mask = 16'h6006; +defparam \hdmi_ctrl_inst|encode_inst1|Add14~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N25 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|Add14~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y21_N2 +cycloneive_lcell_comb \vga_pic_inst|pix_data~28 ( +// Equation(s): +// \vga_pic_inst|pix_data~28_combout = (\vga_pic_inst|pix_data~36_combout & ((\vga_pic_inst|pix_data~25_combout ) # ((\vga_pic_inst|pix_data~35_combout & \vga_pic_inst|pix_data~21_combout )))) + + .dataa(\vga_pic_inst|pix_data~25_combout ), + .datab(\vga_pic_inst|pix_data~35_combout ), + .datac(\vga_pic_inst|pix_data~36_combout ), + .datad(\vga_pic_inst|pix_data~21_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~28_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~28 .lut_mask = 16'hE0A0; +defparam \vga_pic_inst|pix_data~28 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X38_Y21_N3 +dffeas \vga_pic_inst|pix_data[9] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_pic_inst|pix_data~28_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_pic_inst|pix_data [9]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[9] .is_wysiwyg = "true"; +defparam \vga_pic_inst|pix_data[9] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N8 +cycloneive_lcell_comb \vga_ctrl_inst|rgb[7]~3 ( +// Equation(s): +// \vga_ctrl_inst|rgb[7]~3_combout = (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_ctrl_inst|pix_data_req~4_combout & \vga_pic_inst|pix_data [9]))) + + .dataa(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .datab(\vga_ctrl_inst|pix_data_req~1_combout ), + .datac(\vga_ctrl_inst|pix_data_req~4_combout ), + .datad(\vga_pic_inst|pix_data [9]), + .cin(gnd), + .combout(\vga_ctrl_inst|rgb[7]~3_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|rgb[7]~3 .lut_mask = 16'h8000; +defparam \vga_ctrl_inst|rgb[7]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N9 +dffeas \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|rgb[7]~3_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add13~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add13~0_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4])) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & +// ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))))) # (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]))) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & +// (\hdmi_ctrl_inst|encode_inst1|data_in_reg [4])))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]), + .datad(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add13~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add13~0 .lut_mask = 16'hF690; +defparam \hdmi_ctrl_inst|encode_inst1|Add13~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N13 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|Add13~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add13~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add13~1_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & \hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])) # (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & +// ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]) # (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]), + .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add13~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add13~1 .lut_mask = 16'hF330; +defparam \hdmi_ctrl_inst|encode_inst1|Add13~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N29 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|Add13~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & !\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]))) # +// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|condition_3~0 .lut_mask = 16'h08AE; +defparam \hdmi_ctrl_inst|encode_inst1|condition_3~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|condition_3~1_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1] & \hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]))) # +// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]) # ((!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] & \hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|condition_3~1 .lut_mask = 16'h7510; +defparam \hdmi_ctrl_inst|encode_inst1|condition_3~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add14~2_combout = (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [7] & !\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]), + .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]), + .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add14~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add14~2 .lut_mask = 16'h0001; +defparam \hdmi_ctrl_inst|encode_inst1|Add14~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N11 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|Add14~2_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X33_Y21_N7 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst1|cnt [0] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst1|cnt [0] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0] & VCC)) +// \hdmi_ctrl_inst|encode_inst1|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst1|cnt [0] & \hdmi_ctrl_inst|encode_inst1|q_m_n1 [0])) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [0]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add15~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add15~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add15~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst1|Add15~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add15~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add15~4_combout = ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] $ (\hdmi_ctrl_inst|encode_inst1|cnt [2] $ (!\hdmi_ctrl_inst|encode_inst1|Add15~3 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst1|Add15~5 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|cnt [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add15~3 ))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|cnt +// [2] & !\hdmi_ctrl_inst|encode_inst1|Add15~3 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]), + .datab(\hdmi_ctrl_inst|encode_inst1|cnt [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add15~3 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add15~5 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add15~4 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst1|Add15~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add14~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & (((!\hdmi_ctrl_inst|encode_inst1|data_in_reg [4] & !\hdmi_ctrl_inst|encode_inst1|data_in_reg [7])) # (!\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]))) # +// (!\hdmi_ctrl_inst|encode_inst1|data_in_reg [2] & ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]) # ((\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]) # (\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2])))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_in_reg [4]), + .datab(\hdmi_ctrl_inst|encode_inst1|data_in_reg [2]), + .datac(\hdmi_ctrl_inst|encode_inst1|data_in_reg [7]), + .datad(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add14~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add14~0 .lut_mask = 16'h37FE; +defparam \hdmi_ctrl_inst|encode_inst1|Add14~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N17 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|Add14~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add23~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst1|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & +// (\hdmi_ctrl_inst|encode_inst1|Add23~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst1|Add23~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & +// (!\hdmi_ctrl_inst|encode_inst1|Add23~1 )))) +// \hdmi_ctrl_inst|encode_inst1|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst1|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & +// ((\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst1|Add23~1 )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|Add23~1 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add23~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|Add23~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add23~2 .lut_mask = 16'h694D; +defparam \hdmi_ctrl_inst|encode_inst1|Add23~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~9 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~9_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ) # (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (\hdmi_ctrl_inst|encode_inst1|Add23~2_combout & ((!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add23~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|Add17~4_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~9_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~9 .lut_mask = 16'hAAE4; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~9 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~10 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~10_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~9_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst1|Add16~9_combout & (\hdmi_ctrl_inst|encode_inst1|Add20~2_combout & ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add20~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add15~4_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|Add16~9_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~10_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~10 .lut_mask = 16'hCAF0; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~10 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2] + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N23 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~15 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst1|Add17~0_combout )) # (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8] & +// ((\hdmi_ctrl_inst|encode_inst1|Add15~0_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add17~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add15~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~15_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~15 .lut_mask = 16'hA0C0; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~15 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & (\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & +// (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & +// ((\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst1|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~16_combout & +// ((!\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst1|Add16~15_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~16_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add16~15_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout ), + .combout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X36_Y21_N11 +dffeas \hdmi_ctrl_inst|encode_inst1|cnt[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|cnt[0]~7_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|cnt [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal1~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst1|cnt [1] & (!\hdmi_ctrl_inst|encode_inst1|cnt [0] & (!\hdmi_ctrl_inst|encode_inst1|cnt [2] & !\hdmi_ctrl_inst|encode_inst1|cnt [4]))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|cnt [1]), + .datab(\hdmi_ctrl_inst|encode_inst1|cnt [0]), + .datac(\hdmi_ctrl_inst|encode_inst1|cnt [2]), + .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Equal1~0 .lut_mask = 16'h0001; +defparam \hdmi_ctrl_inst|encode_inst1|Equal1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal1~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Equal1~1_combout = (\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout & !\hdmi_ctrl_inst|encode_inst1|cnt [3]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst1|cnt [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Equal1~1 .lut_mask = 16'h00CC; +defparam \hdmi_ctrl_inst|encode_inst1|Equal1~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ) # (\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Equal2~1_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Equal1~1_combout ), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(), + .cout(\hdmi_ctrl_inst|encode_inst1|cnt[0]~6_cout )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 .lut_mask = 16'h00EE; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst1|Add16~14_combout $ (\hdmi_ctrl_inst|encode_inst1|Add16~12_combout $ (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst1|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~14_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~12_combout ) # (!\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~14_combout & +// (\hdmi_ctrl_inst|encode_inst1|Add16~12_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~14_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add16~12_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|cnt[0]~8 ), + .combout(\hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & (\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & +// (!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & +// ((\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst1|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst1|Add16~8_combout & +// ((!\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst1|Add16~10_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~8_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add16~10_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|cnt[1]~10 ), + .combout(\hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X36_Y21_N15 +dffeas \hdmi_ctrl_inst|encode_inst1|cnt[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|cnt[2]~11_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|cnt [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y21_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~3 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~3_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst1|Add20~4_combout )) # (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst1|Add23~4_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add20~4_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|Add23~4_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~3 .lut_mask = 16'hEE50; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y21_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~4_combout = (\hdmi_ctrl_inst|encode_inst1|Add16~3_combout & (((\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~3_combout +// & (\hdmi_ctrl_inst|encode_inst1|Add17~6_combout & ((\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add17~6_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add15~6_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|Add16~3_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~4 .lut_mask = 16'hCAF0; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst1|Add16~6_combout $ (\hdmi_ctrl_inst|encode_inst1|Add16~4_combout $ (!\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst1|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst1|Add16~6_combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~4_combout ) # (!\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~6_combout & +// (\hdmi_ctrl_inst|encode_inst1|Add16~4_combout & !\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~6_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add16~4_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst1|cnt[2]~12 ), + .combout(\hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ), + .cout(\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X36_Y21_N17 +dffeas \hdmi_ctrl_inst|encode_inst1|cnt[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|cnt[3]~13_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|cnt [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Equal2~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] $ (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])))) # +// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1] $ (!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1])))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [2]), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Equal2~0 .lut_mask = 16'h8241; +defparam \hdmi_ctrl_inst|encode_inst1|Equal2~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|condition_2~combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (!\hdmi_ctrl_inst|encode_inst1|cnt [3] & ((\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & +// ((\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ) # ((!\hdmi_ctrl_inst|encode_inst1|cnt [3] & \hdmi_ctrl_inst|encode_inst1|Equal1~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]), + .datab(\hdmi_ctrl_inst|encode_inst1|cnt [3]), + .datac(\hdmi_ctrl_inst|encode_inst1|Equal2~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|Equal1~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|condition_2 .lut_mask = 16'h7350; +defparam \hdmi_ctrl_inst|encode_inst1|condition_2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 .lut_mask = 16'h22EE; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~11 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~11_combout = (\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (((\hdmi_ctrl_inst|encode_inst1|Add19~0_combout ) # (\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) # +// (!\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst1|Add22~0_combout & ((!\hdmi_ctrl_inst|encode_inst1|condition_2~combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add22~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add19~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|data_out[0]~0_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~11_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~11 .lut_mask = 16'hF0CA; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~11 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~12 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~12_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst1|Add16~11_combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]))) # (!\hdmi_ctrl_inst|encode_inst1|Add16~11_combout & +// (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1])))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst1|Add16~11_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [1]), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_n1 [1]), + .datad(\hdmi_ctrl_inst|encode_inst1|Add16~11_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~12_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~12 .lut_mask = 16'h5F22; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~12 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X36_Y21_N13 +dffeas \hdmi_ctrl_inst|encode_inst1|cnt[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|cnt[1]~9_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|cnt [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add22~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add22~6_combout = \hdmi_ctrl_inst|encode_inst1|Add22~5 $ (!\hdmi_ctrl_inst|encode_inst1|cnt [4]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]), + .cin(\hdmi_ctrl_inst|encode_inst1|Add22~5 ), + .combout(\hdmi_ctrl_inst|encode_inst1|Add22~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add22~6 .lut_mask = 16'hF00F; +defparam \hdmi_ctrl_inst|encode_inst1|Add22~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|Add16~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|Add16~2_combout = (\hdmi_ctrl_inst|encode_inst1|condition_2~combout ) # ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst1|Add19~6_combout )) # +// (!\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst1|Add22~6_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add19~6_combout ), + .datab(\hdmi_ctrl_inst|encode_inst1|Add22~6_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|Add16~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|Add16~2 .lut_mask = 16'hFFAC; +defparam \hdmi_ctrl_inst|encode_inst1|Add16~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y21_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst1|Add16~1_combout $ (\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst1|Add16~2_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst1|Add16~1_combout ), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst1|Add16~2_combout ), + .cin(\hdmi_ctrl_inst|encode_inst1|cnt[3]~14 ), + .combout(\hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 .lut_mask = 16'hA55A; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X36_Y21_N19 +dffeas \hdmi_ctrl_inst|encode_inst1|cnt[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|cnt[4]~15_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|cnt [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|cnt[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|cnt[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y21_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|condition_3~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & (((\hdmi_ctrl_inst|encode_inst1|cnt [4])))) # (!\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3] & ((\hdmi_ctrl_inst|encode_inst1|cnt [4] & +// (\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout )) # (!\hdmi_ctrl_inst|encode_inst1|cnt [4] & ((\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_n0 [3]), + .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~1_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|cnt [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|condition_3~2 .lut_mask = 16'hEE50; +defparam \hdmi_ctrl_inst|encode_inst1|condition_3~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y21_N19 +dffeas \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst1|data_in_n1 [2]), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|q_m_reg [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y21_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out~1_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [3] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .datab(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|q_m_reg [3]), + .datad(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out~1 .lut_mask = 16'hA53C; +defparam \hdmi_ctrl_inst|encode_inst1|data_out~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~1_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out~1_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N1 +dffeas \hdmi_ctrl_inst|encode_inst1|data_out[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_out [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~3 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out~3_combout = \hdmi_ctrl_inst|encode_inst1|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst1|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst1|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|q_m_reg [5]), + .datab(\hdmi_ctrl_inst|encode_inst1|condition_2~combout ), + .datac(\hdmi_ctrl_inst|encode_inst1|condition_3~2_combout ), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out~3 .lut_mask = 16'h9A56; +defparam \hdmi_ctrl_inst|encode_inst1|data_out~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst1|data_out~3_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst1|data_out~3_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N29 +dffeas \hdmi_ctrl_inst|encode_inst1|data_out[5] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_out [5]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[5] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[5] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst1|data_out [5]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3])) + + .dataa(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [3]), + .datab(\hdmi_ctrl_inst|encode_inst1|data_out [5]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 .lut_mask = 16'hCACA; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N21 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|data_out [3]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 .lut_mask = 16'hCFC0; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N3 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|data_out [3]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [1]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 .lut_mask = 16'hCFC0; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N25 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst1|data_out~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst1|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & ((\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )) + + .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datad(\hdmi_ctrl_inst|encode_inst1|q_m_reg [8]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst1|data_out~7_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out~7 .lut_mask = 16'hAF05; +defparam \hdmi_ctrl_inst|encode_inst1|data_out~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N29 +dffeas \hdmi_ctrl_inst|encode_inst1|data_out[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst1|data_out~7_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst1|data_out [8]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst1|data_out[8] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst1|data_out[8] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout = (\hdmi_ctrl_inst|encode_inst1|data_out [8] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst1|data_out [8]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 .lut_mask = 16'hCC00; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N27 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4]))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [2]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [4]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 .lut_mask = 16'hB8B8; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N23 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3]))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [4]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [3]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 .lut_mask = 16'hB8B8; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N19 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2]))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [2]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 .lut_mask = 16'hBB88; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N15 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst1|data_out [0])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1]))) + + .dataa(\hdmi_ctrl_inst|encode_inst1|data_out [0]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [1]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 .lut_mask = 16'hB8B8; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N3 +dffeas \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] .power_up = "low"; +// synopsys translate_on + +// Location: DDIOOUTCELL_X41_Y23_N11 +cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] ( + .datainlo(\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]), + .datainhi(\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]), + .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clk(gnd), + .ena(vcc), + .areset(gnd), + .sreset(gnd), + .devclrn(devclrn), + .devpor(devpor), + .dataout(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .dfflo(), + .dffhi()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N14 +cycloneive_lcell_comb \vga_pic_inst|pix_data~33 ( +// Equation(s): +// \vga_pic_inst|pix_data~33_combout = (\vga_pic_inst|pix_data~37_combout & ((\vga_pic_inst|pix_data[13]~10_combout ) # (\vga_pic_inst|pix_data~19_combout ))) + + .dataa(\vga_pic_inst|pix_data~37_combout ), + .datab(\vga_pic_inst|pix_data[13]~10_combout ), + .datac(gnd), + .datad(\vga_pic_inst|pix_data~19_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~33_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~33 .lut_mask = 16'hAA88; +defparam \vga_pic_inst|pix_data~33 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N15 +dffeas \vga_pic_inst|pix_data[13] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_pic_inst|pix_data~33_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_pic_inst|pix_data [13]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[13] .is_wysiwyg = "true"; +defparam \vga_pic_inst|pix_data[13] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N22 +cycloneive_lcell_comb \vga_ctrl_inst|rgb[13]~6 ( +// Equation(s): +// \vga_ctrl_inst|rgb[13]~6_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [13] & \hdmi_ctrl_inst|encode_inst0|Add4~0_combout ))) + + .dataa(\vga_ctrl_inst|pix_data_req~4_combout ), + .datab(\vga_ctrl_inst|pix_data_req~1_combout ), + .datac(\vga_pic_inst|pix_data [13]), + .datad(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|rgb[13]~6_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|rgb[13]~6 .lut_mask = 16'h8000; +defparam \vga_ctrl_inst|rgb[13]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N23 +dffeas \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|rgb[13]~6_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N4 +cycloneive_lcell_comb \vga_pic_inst|pix_data~32 ( +// Equation(s): +// \vga_pic_inst|pix_data~32_combout = (\vga_pic_inst|pix_data~37_combout & (!\vga_ctrl_inst|pix_x[11]~0_combout & ((\vga_pic_inst|pix_data[13]~9_combout ) # (!\vga_pic_inst|pix_data~13_combout )))) + + .dataa(\vga_pic_inst|pix_data~37_combout ), + .datab(\vga_pic_inst|pix_data~13_combout ), + .datac(\vga_pic_inst|pix_data[13]~9_combout ), + .datad(\vga_ctrl_inst|pix_x[11]~0_combout ), + .cin(gnd), + .combout(\vga_pic_inst|pix_data~32_combout ), + .cout()); +// synopsys translate_off +defparam \vga_pic_inst|pix_data~32 .lut_mask = 16'h00A2; +defparam \vga_pic_inst|pix_data~32 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N5 +dffeas \vga_pic_inst|pix_data[15] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_pic_inst|pix_data~32_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\vga_pic_inst|pix_data [15]), + .prn(vcc)); +// synopsys translate_off +defparam \vga_pic_inst|pix_data[15] .is_wysiwyg = "true"; +defparam \vga_pic_inst|pix_data[15] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add6~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add6~0_combout = (\vga_ctrl_inst|pix_data_req~3_combout & (\hdmi_ctrl_inst|encode_inst0|Add4~0_combout & (\vga_pic_inst|pix_data [13] & \vga_pic_inst|pix_data [15]))) + + .dataa(\vga_ctrl_inst|pix_data_req~3_combout ), + .datab(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .datac(\vga_pic_inst|pix_data [13]), + .datad(\vga_pic_inst|pix_data [15]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add6~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add6~0 .lut_mask = 16'h8000; +defparam \hdmi_ctrl_inst|encode_inst2|Add6~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N27 +dffeas \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|Add6~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y21_N20 +cycloneive_lcell_comb \vga_ctrl_inst|rgb[12]~5 ( +// Equation(s): +// \vga_ctrl_inst|rgb[12]~5_combout = (\vga_ctrl_inst|pix_data_req~4_combout & (\vga_ctrl_inst|pix_data_req~1_combout & (\vga_pic_inst|pix_data [15] & \hdmi_ctrl_inst|encode_inst0|Add4~0_combout ))) + + .dataa(\vga_ctrl_inst|pix_data_req~4_combout ), + .datab(\vga_ctrl_inst|pix_data_req~1_combout ), + .datac(\vga_pic_inst|pix_data [15]), + .datad(\hdmi_ctrl_inst|encode_inst0|Add4~0_combout ), + .cin(gnd), + .combout(\vga_ctrl_inst|rgb[12]~5_combout ), + .cout()); +// synopsys translate_off +defparam \vga_ctrl_inst|rgb[12]~5 .lut_mask = 16'h8000; +defparam \vga_ctrl_inst|rgb[12]~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y21_N21 +dffeas \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\vga_ctrl_inst|rgb[12]~5_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add12~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add12~0_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & (!\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2])) # (!\hdmi_ctrl_inst|encode_inst2|data_in_reg [3] & ((\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]), + .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add12~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add12~0 .lut_mask = 16'h3F0C; +defparam \hdmi_ctrl_inst|encode_inst2|Add12~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y24_N5 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|Add12~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add14~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add14~0_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]) # ((\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]) # (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4])) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_in_reg [3]), + .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add14~0 .lut_mask = 16'hFFFC; +defparam \hdmi_ctrl_inst|encode_inst2|Add14~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y24_N1 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add12~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add12~1_combout = (\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] & !\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add12~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add12~1 .lut_mask = 16'h00F0; +defparam \hdmi_ctrl_inst|encode_inst2|Add12~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y24_N23 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|Add12~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|condition_3~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & !\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))) # +// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & !\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|condition_3~0 .lut_mask = 16'h20F2; +defparam \hdmi_ctrl_inst|encode_inst2|condition_3~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout = !\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y24_N7 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal2~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Equal2~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2])))) # +// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1] & (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] $ (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2])))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Equal2~0 .lut_mask = 16'h9009; +defparam \hdmi_ctrl_inst|encode_inst2|Equal2~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add19~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add19~0_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & (\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] & VCC)) +// \hdmi_ctrl_inst|encode_inst2|Add19~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|cnt [1] & \hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) + + .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [1]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add19~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add19~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add19~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst2|Add19~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~5 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~5_combout = (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & ((\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ))) # +// (!\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout & (\hdmi_ctrl_inst|encode_inst2|Add22~4_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add22~4_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add19~4_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~5 .lut_mask = 16'h0C0A; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~6_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ) # ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datac(\hdmi_ctrl_inst|encode_inst2|Add16~5_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~6 .lut_mask = 16'hF7F0; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal1~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Equal1~0_combout = (!\hdmi_ctrl_inst|encode_inst2|cnt [4] & (!\hdmi_ctrl_inst|encode_inst2|cnt [0] & (!\hdmi_ctrl_inst|encode_inst2|cnt [1] & !\hdmi_ctrl_inst|encode_inst2|cnt [2]))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|cnt [4]), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [0]), + .datac(\hdmi_ctrl_inst|encode_inst2|cnt [1]), + .datad(\hdmi_ctrl_inst|encode_inst2|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Equal1~0 .lut_mask = 16'h0001; +defparam \hdmi_ctrl_inst|encode_inst2|Equal1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Equal1~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Equal1~1_combout = (!\hdmi_ctrl_inst|encode_inst2|cnt [3] & \hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst2|cnt [3]), + .datad(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Equal1~1 .lut_mask = 16'h0F00; +defparam \hdmi_ctrl_inst|encode_inst2|Equal1~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout = CARRY((\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ) # (\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Equal2~1_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Equal1~1_combout ), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(), + .cout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 .lut_mask = 16'h00EE; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & (\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & +// (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & +// ((\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst2|cnt[0]~8 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~16_combout & +// ((!\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ) # (!\hdmi_ctrl_inst|encode_inst2|Add16~15_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~16_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add16~15_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|cnt[0]~6_cout ), + .combout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y24_N3 +dffeas \hdmi_ctrl_inst|encode_inst2|cnt[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|cnt[0]~7_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|cnt [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add15~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add15~0_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst2|cnt [0] $ (VCC))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & (\hdmi_ctrl_inst|encode_inst2|cnt [0] & VCC)) +// \hdmi_ctrl_inst|encode_inst2|Add15~1 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0] & \hdmi_ctrl_inst|encode_inst2|cnt [0])) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]), + .datab(\hdmi_ctrl_inst|encode_inst2|cnt [0]), + .datac(gnd), + .datad(vcc), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add15~0_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add15~1 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add15~0 .lut_mask = 16'h6688; +defparam \hdmi_ctrl_inst|encode_inst2|Add15~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~15 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~15_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] & (\hdmi_ctrl_inst|encode_inst2|Add17~0_combout )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8] & +// ((\hdmi_ctrl_inst|encode_inst2|Add15~0_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add17~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add15~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~15_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~15 .lut_mask = 16'hAC00; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~15 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout = ((\hdmi_ctrl_inst|encode_inst2|Add16~12_combout $ (\hdmi_ctrl_inst|encode_inst2|Add16~14_combout $ (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst2|cnt[1]~10 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~12_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ) # (!\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~12_combout & +// (\hdmi_ctrl_inst|encode_inst2|Add16~14_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~12_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|cnt[0]~8 ), + .combout(\hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & (\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & +// (!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & (!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & +// ((\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ) # (GND))))) +// \hdmi_ctrl_inst|encode_inst2|cnt[2]~12 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 )) # (!\hdmi_ctrl_inst|encode_inst2|Add16~10_combout & +// ((!\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ) # (!\hdmi_ctrl_inst|encode_inst2|Add16~8_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~10_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add16~8_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|cnt[1]~10 ), + .combout(\hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 .lut_mask = 16'h9617; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout = ((\hdmi_ctrl_inst|encode_inst2|Add16~4_combout $ (\hdmi_ctrl_inst|encode_inst2|Add16~6_combout $ (!\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 )))) # (GND) +// \hdmi_ctrl_inst|encode_inst2|cnt[3]~14 = CARRY((\hdmi_ctrl_inst|encode_inst2|Add16~4_combout & ((\hdmi_ctrl_inst|encode_inst2|Add16~6_combout ) # (!\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~4_combout & +// (\hdmi_ctrl_inst|encode_inst2|Add16~6_combout & !\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~4_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add16~6_combout ), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|cnt[2]~12 ), + .combout(\hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 .lut_mask = 16'h698E; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y24_N9 +dffeas \hdmi_ctrl_inst|encode_inst2|cnt[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|cnt[3]~13_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|cnt [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout = !\hdmi_ctrl_inst|encode_inst2|Add14~0_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|Add14~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 .lut_mask = 16'h00FF; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y24_N3 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|condition_2~combout = (\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout & (((\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout & !\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])) # (!\hdmi_ctrl_inst|encode_inst2|cnt [3]))) # +// (!\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout & (\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Equal1~0_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Equal2~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|cnt [3]), + .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|condition_2 .lut_mask = 16'h0ACE; +defparam \hdmi_ctrl_inst|encode_inst2|condition_2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 .lut_mask = 16'h33AA; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~14 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~14_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~13_combout & (((!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1])) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~13_combout +// & (\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add19~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~13_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [1]), + .datad(\hdmi_ctrl_inst|encode_inst2|Add19~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~14_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~14 .lut_mask = 16'h6E2A; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~14 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y24_N5 +dffeas \hdmi_ctrl_inst|encode_inst2|cnt[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|cnt[1]~9_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|cnt [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add23~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst2|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & +// (\hdmi_ctrl_inst|encode_inst2|Add23~1 & VCC)))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & ((\hdmi_ctrl_inst|encode_inst2|Add23~1 ) # (GND))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & +// (!\hdmi_ctrl_inst|encode_inst2|Add23~1 )))) +// \hdmi_ctrl_inst|encode_inst2|Add23~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst2|Add23~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & +// ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]) # (!\hdmi_ctrl_inst|encode_inst2|Add23~1 )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add23~1 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add23~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add23~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add23~2 .lut_mask = 16'h694D; +defparam \hdmi_ctrl_inst|encode_inst2|Add23~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N22 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add20~2_combout = (\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (!\hdmi_ctrl_inst|encode_inst2|Add20~1 )) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & +// ((\hdmi_ctrl_inst|encode_inst2|Add20~1 ) # (GND))))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & (\hdmi_ctrl_inst|encode_inst2|Add20~1 & VCC)) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & +// (!\hdmi_ctrl_inst|encode_inst2|Add20~1 )))) +// \hdmi_ctrl_inst|encode_inst2|Add20~3 = CARRY((\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & ((!\hdmi_ctrl_inst|encode_inst2|Add20~1 ) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]))) # (!\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2] & +// (!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2] & !\hdmi_ctrl_inst|encode_inst2|Add20~1 ))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [2]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [2]), + .datac(gnd), + .datad(vcc), + .cin(\hdmi_ctrl_inst|encode_inst2|Add20~1 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ), + .cout(\hdmi_ctrl_inst|encode_inst2|Add20~3 )); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add20~2 .lut_mask = 16'h692B; +defparam \hdmi_ctrl_inst|encode_inst2|Add20~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~7_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ))) # (!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout & (\hdmi_ctrl_inst|encode_inst2|Add23~2_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add23~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|Add20~2_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~7_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~7 .lut_mask = 16'hFA44; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~8_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~7_combout & (((\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~7_combout +// & (\hdmi_ctrl_inst|encode_inst2|Add17~4_combout & ((\hdmi_ctrl_inst|encode_inst2|condition_2~combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add17~4_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add15~4_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|Add16~7_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~8 .lut_mask = 16'hCAF0; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X37_Y24_N7 +dffeas \hdmi_ctrl_inst|encode_inst2|cnt[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|cnt[2]~11_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|cnt [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[2] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X39_Y24_N9 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_n1 [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add17~8 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add17~8_combout = \hdmi_ctrl_inst|encode_inst2|Add17~7 $ (!\hdmi_ctrl_inst|encode_inst2|cnt [4]) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|cnt [4]), + .cin(\hdmi_ctrl_inst|encode_inst2|Add17~7 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add17~8_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add17~8 .lut_mask = 16'hF00F; +defparam \hdmi_ctrl_inst|encode_inst2|Add17~8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add23~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add23~6_combout = !\hdmi_ctrl_inst|encode_inst2|Add23~5 + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst2|Add23~5 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add23~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add23~6 .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst2|Add23~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~0 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~0_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((\hdmi_ctrl_inst|encode_inst2|Add17~8_combout ) # ((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # +// (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (((\hdmi_ctrl_inst|encode_inst2|Add23~6_combout & !\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add17~8_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|Add23~6_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~0 .lut_mask = 16'hAAD8; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y24_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add20~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add20~6_combout = !\hdmi_ctrl_inst|encode_inst2|Add20~5 + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(\hdmi_ctrl_inst|encode_inst2|Add20~5 ), + .combout(\hdmi_ctrl_inst|encode_inst2|Add20~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add20~6 .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst2|Add20~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y24_N2 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|Add16~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|Add16~1_combout = (\hdmi_ctrl_inst|encode_inst2|Add16~0_combout & ((\hdmi_ctrl_inst|encode_inst2|Add15~8_combout ) # ((!\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|Add16~0_combout +// & (((\hdmi_ctrl_inst|encode_inst2|Add20~6_combout & \hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add15~8_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|Add16~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|Add20~6_combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|Add16~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|Add16~1 .lut_mask = 16'hB8CC; +defparam \hdmi_ctrl_inst|encode_inst2|Add16~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y24_N10 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout = \hdmi_ctrl_inst|encode_inst2|Add16~2_combout $ (\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 $ (\hdmi_ctrl_inst|encode_inst2|Add16~1_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst2|Add16~2_combout ), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|Add16~1_combout ), + .cin(\hdmi_ctrl_inst|encode_inst2|cnt[3]~14 ), + .combout(\hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 .lut_mask = 16'hA55A; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: FF_X37_Y24_N11 +dffeas \hdmi_ctrl_inst|encode_inst2|cnt[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|cnt[4]~15_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|cnt [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|cnt[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|cnt[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N26 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|condition_3~2 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|condition_3~2_combout = (\hdmi_ctrl_inst|encode_inst2|cnt [4] & (((\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ) # (\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])))) # (!\hdmi_ctrl_inst|encode_inst2|cnt [4] & +// (\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3])))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~1_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|condition_3~0_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|cnt [4]), + .datad(\hdmi_ctrl_inst|encode_inst2|q_m_n0 [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|condition_3~2 .lut_mask = 16'hF0CA; +defparam \hdmi_ctrl_inst|encode_inst2|condition_3~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y24_N21 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(gnd), + .asdata(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out~1_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [1] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .datac(\hdmi_ctrl_inst|encode_inst2|q_m_reg [1]), + .datad(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out~1 .lut_mask = 16'hB41E; +defparam \hdmi_ctrl_inst|encode_inst2|data_out~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~1_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out~1_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N1 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N28 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout = \hdmi_ctrl_inst|encode_inst2|data_in_n1 [2] $ (\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]) + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst2|data_in_n1 [2]), + .datad(\hdmi_ctrl_inst|encode_inst2|data_in_reg [4]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 .lut_mask = 16'h0FF0; +defparam \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X39_Y24_N29 +dffeas \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|q_m[7]~1_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|q_m_reg [5]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y24_N30 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~4 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out~4_combout = \hdmi_ctrl_inst|encode_inst2|q_m_reg [5] $ (((\hdmi_ctrl_inst|encode_inst2|condition_2~combout & (!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8])) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & +// ((\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ))))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [5]), + .datac(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out~4 .lut_mask = 16'h939C; +defparam \hdmi_ctrl_inst|encode_inst2|data_out~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N16 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout = \hdmi_ctrl_inst|encode_inst2|data_out~4_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out~4_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder .lut_mask = 16'hFF00; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N17 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[5] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [5]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[5] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[5] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N18 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out~7 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out~7_combout = (\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (((\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout )))) # (!\hdmi_ctrl_inst|encode_inst2|de_reg2~q & (\hdmi_ctrl_inst|encode_inst2|c1_reg2~q $ +// ((!\hdmi_ctrl_inst|encode_inst2|c0_reg2~q )))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .datab(\hdmi_ctrl_inst|encode_inst2|c1_reg2~q ), + .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datad(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out~7_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out~7 .lut_mask = 16'hEB41; +defparam \hdmi_ctrl_inst|encode_inst2|data_out~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N19 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[9] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out~7_combout ), + .asdata(vcc), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [9]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[9] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[9] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y21_N12 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout = (\hdmi_ctrl_inst|encode_inst2|data_out [9] & \hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_out [9]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 .lut_mask = 16'hC0C0; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y21_N13 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N8 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_out [5]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [4]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 .lut_mask = 16'hCCF0; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N9 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N4 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [5])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_out [5]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [3]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 .lut_mask = 16'hCCF0; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N5 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [3])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2]))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [3]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [2]), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 .lut_mask = 16'hB8B8; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N25 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y23_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [1])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_out [1]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [1]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 .lut_mask = 16'hCFC0; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y23_N21 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y24_N17 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out[0]~0_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[0] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N20 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout = (\hdmi_ctrl_inst|encode_inst2|condition_2~combout & ((!\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]))) # (!\hdmi_ctrl_inst|encode_inst2|condition_2~combout & +// (\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout )) + + .dataa(\hdmi_ctrl_inst|encode_inst2|condition_3~2_combout ), + .datab(\hdmi_ctrl_inst|encode_inst2|q_m_reg [8]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|encode_inst2|condition_2~combout ), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 .lut_mask = 16'h33AA; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y20_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell ( +// Equation(s): +// \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout = !\hdmi_ctrl_inst|encode_inst2|c0_reg2~q + + .dataa(gnd), + .datab(gnd), + .datac(\hdmi_ctrl_inst|encode_inst2|c0_reg2~q ), + .datad(gnd), + .cin(gnd), + .combout(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell .lut_mask = 16'h0F0F; +defparam \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N21 +dffeas \hdmi_ctrl_inst|encode_inst2|data_out[6] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|encode_inst2|data_out[4]~6_combout ), + .asdata(\hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell_combout ), + .clrn(!\rst_n~0clkctrl_outclk ), + .aload(gnd), + .sclr(gnd), + .sload(!\hdmi_ctrl_inst|encode_inst2|de_reg2~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|encode_inst2|data_out [6]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|encode_inst2|data_out[6] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|encode_inst2|data_out[6] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N6 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|encode_inst2|data_out [6]))) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4])) + + .dataa(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [4]), + .datab(\hdmi_ctrl_inst|encode_inst2|data_out [6]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 .lut_mask = 16'hCCAA; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N7 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N24 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [4])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3]))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [4]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [3]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 .lut_mask = 16'hBB88; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N25 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N0 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [2])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2]))) + + .dataa(\hdmi_ctrl_inst|encode_inst2|data_out [2]), + .datab(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datac(gnd), + .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [2]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 .lut_mask = 16'hBB88; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N1 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] .power_up = "low"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y24_N14 +cycloneive_lcell_comb \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 ( +// Equation(s): +// \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout = (\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & (\hdmi_ctrl_inst|encode_inst2|data_out [0])) # (!\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2] & ((\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1]))) + + .dataa(gnd), + .datab(\hdmi_ctrl_inst|encode_inst2|data_out [0]), + .datac(\hdmi_ctrl_inst|par_to_ser_inst0|cnt [2]), + .datad(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [1]), + .cin(gnd), + .combout(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ), + .cout()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 .lut_mask = 16'hCFC0; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: FF_X40_Y24_N15 +dffeas \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] ( + .clk(\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .d(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]), + .prn(vcc)); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] .is_wysiwyg = "true"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] .power_up = "low"; +// synopsys translate_on + +// Location: DDIOOUTCELL_X41_Y24_N4 +cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] ( + .datainlo(\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]), + .datainhi(\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]), + .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clk(gnd), + .ena(vcc), + .areset(gnd), + .sreset(gnd), + .devclrn(devclrn), + .devpor(devpor), + .dataout(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .dfflo(), + .dffhi()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true"; +// synopsys translate_on + +// Location: DDIOOUTCELL_X41_Y22_N25 +cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] ( + .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s [0]), + .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s [0]), + .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clk(gnd), + .ena(vcc), + .areset(gnd), + .sreset(gnd), + .devclrn(devclrn), + .devpor(devpor), + .dataout(\hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .dfflo(), + .dffhi()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true"; +// synopsys translate_on + +// Location: DDIOOUTCELL_X41_Y23_N18 +cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] ( + .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s [0]), + .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s [0]), + .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clk(gnd), + .ena(vcc), + .areset(gnd), + .sreset(gnd), + .devclrn(devclrn), + .devpor(devpor), + .dataout(\hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .dfflo(), + .dffhi()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true"; +// synopsys translate_on + +// Location: DDIOOUTCELL_X41_Y24_N11 +cycloneive_ddio_out \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] ( + .datainlo(!\hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s [0]), + .datainhi(!\hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s [0]), + .clkhi(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clklo(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .muxsel(!\clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), + .clk(gnd), + .ena(vcc), + .areset(gnd), + .sreset(gnd), + .devclrn(devclrn), + .devpor(devpor), + .dataout(\hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|dataout [0]), + .dfflo(), + .dffhi()); +// synopsys translate_off +defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .async_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .power_up = "low"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .sync_mode = "none"; +defparam \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] .use_new_clocking_model = "true"; +// synopsys translate_on + +endmodule diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_min_1200mv_0c_v_fast.sdo b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_min_1200mv_0c_v_fast.sdo new file mode 100644 index 0000000..e407381 --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_min_1200mv_0c_v_fast.sdo @@ -0,0 +1,9062 @@ +// Copyright (C) 1991-2013 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + + +// +// Device: Altera EP4CE15F23C8 Package FBGA484 +// + +// +// This file contains Fast Corner delays for the design using part EP4CE15F23C8, +// with speed grade M, core voltage 1.2V, and temperature 0 Celsius +// + +// +// This SDF file should be used for ModelSim (Verilog) only +// + +(DELAYFILE + (SDFVERSION "2.1") + (DESIGN "hdmi_colorbar") + (DATE "06/02/2023 04:17:19") + (VENDOR "Altera") + (PROGRAM "Quartus II 64-Bit") + (VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version") + (DIVIDER .) + (TIMESCALE 1 ps) + + (CELL + (CELLTYPE "cycloneive_pll") + (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll1) + (DELAY + (ABSOLUTE + (PORT areset (2024:2024:2024) (2024:2024:2024)) + (PORT inclk[0] (1104:1104:1104) (1104:1104:1104)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT asdata (667:667:667) (769:769:769)) + (PORT clrn (860:860:860) (864:864:864)) + (PORT sload (600:600:600) (548:548:548)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sload (posedge clk) (84:84:84)) + (HOLD asdata (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (863:863:863) (867:867:867)) + (PORT sclr (598:598:598) (581:581:581)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sclr (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (863:863:863) (867:867:867)) + (PORT sclr (598:598:598) (581:581:581)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sclr (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (863:863:863) (867:867:867)) + (PORT sclr (598:598:598) (581:581:581)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sclr (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (PORT asdata (666:666:666) (767:767:767)) + (PORT clrn (863:863:863) (867:867:867)) + (PORT sload (815:815:815) (744:744:744)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sload (posedge clk) (84:84:84)) + (HOLD asdata (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (872:872:872) (876:876:876)) + (PORT d (37:37:37) (50:50:50)) + (PORT asdata (633:633:633) (700:700:700)) + (PORT clrn (865:865:865) (868:868:868)) + (PORT sload (767:767:767) (696:696:696)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sload (posedge clk) (84:84:84)) + (HOLD asdata (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (872:872:872) (876:876:876)) + (PORT d (37:37:37) (50:50:50)) + (PORT asdata (748:748:748) (841:841:841)) + (PORT clrn (865:865:865) (868:868:868)) + (PORT sload (767:767:767) (696:696:696)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sload (posedge clk) (84:84:84)) + (HOLD asdata (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~0) + (DELAY + (ABSOLUTE + (PORT dataa (425:425:425) (503:503:503)) + (PORT datab (252:252:252) (312:312:312)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (167:167:167) (158:158:158)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~2) + (DELAY + (ABSOLUTE + (PORT dataa (231:231:231) (298:298:298)) + (PORT datab (313:313:313) (383:383:383)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (167:167:167) (176:176:176)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~4) + (DELAY + (ABSOLUTE + (PORT dataa (220:220:220) (280:280:280)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~6) + (DELAY + (ABSOLUTE + (IOPATH cin combout (187:187:187) (204:204:204)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~0) + (DELAY + (ABSOLUTE + (PORT dataa (223:223:223) (285:285:285)) + (PORT datab (144:144:144) (194:194:194)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (190:190:190) (181:181:181)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~2) + (DELAY + (ABSOLUTE + (PORT dataa (335:335:335) (398:398:398)) + (PORT datab (241:241:241) (302:302:302)) + (IOPATH dataa combout (165:165:165) (173:173:173)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (166:166:166) (174:174:174)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~4) + (DELAY + (ABSOLUTE + (PORT dataa (333:333:333) (403:403:403)) + (PORT datab (234:234:234) (293:293:293)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (191:191:191) (181:181:181)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~6) + (DELAY + (ABSOLUTE + (PORT datab (256:256:256) (316:316:316)) + (IOPATH datab combout (166:166:166) (176:176:176)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~8) + (DELAY + (ABSOLUTE + (PORT datab (250:250:250) (308:308:308)) + (IOPATH datab combout (188:188:188) (193:193:193)) + (IOPATH cin combout (187:187:187) (204:204:204)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~0) + (DELAY + (ABSOLUTE + (PORT dataa (425:425:425) (503:503:503)) + (PORT datab (255:255:255) (315:315:315)) + (IOPATH dataa combout (166:166:166) (159:159:159)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (190:190:190) (181:181:181)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~2) + (DELAY + (ABSOLUTE + (PORT dataa (230:230:230) (296:296:296)) + (PORT datab (313:313:313) (383:383:383)) + (IOPATH dataa combout (166:166:166) (173:173:173)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (190:190:190) (181:181:181)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~4) + (DELAY + (ABSOLUTE + (PORT dataa (218:218:218) (278:278:278)) + (IOPATH dataa combout (165:165:165) (173:173:173)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~6) + (DELAY + (ABSOLUTE + (IOPATH cin combout (187:187:187) (204:204:204)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~0) + (DELAY + (ABSOLUTE + (PORT dataa (226:226:226) (288:288:288)) + (PORT datab (148:148:148) (199:199:199)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (190:190:190) (181:181:181)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~2) + (DELAY + (ABSOLUTE + (PORT dataa (338:338:338) (406:406:406)) + (PORT datab (244:244:244) (305:305:305)) + (IOPATH dataa combout (165:165:165) (173:173:173)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (166:166:166) (174:174:174)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~4) + (DELAY + (ABSOLUTE + (PORT dataa (313:313:313) (380:380:380)) + (PORT datab (236:236:236) (295:295:295)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (191:191:191) (181:181:181)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~6) + (DELAY + (ABSOLUTE + (PORT dataa (351:351:351) (416:416:416)) + (PORT datab (258:258:258) (318:318:318)) + (IOPATH dataa combout (165:165:165) (173:173:173)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (166:166:166) (174:174:174)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~8) + (DELAY + (ABSOLUTE + (PORT datab (252:252:252) (310:310:310)) + (IOPATH datab combout (188:188:188) (193:193:193)) + (IOPATH cin combout (187:187:187) (204:204:204)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~4) + (DELAY + (ABSOLUTE + (PORT dataa (155:155:155) (206:206:206)) + (IOPATH dataa combout (186:186:186) (175:175:175)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~4) + (DELAY + (ABSOLUTE + (PORT dataa (240:240:240) (293:293:293)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\]\~7) + (DELAY + (ABSOLUTE + (PORT dataa (106:106:106) (139:139:139)) + (PORT datab (105:105:105) (134:134:134)) + (IOPATH dataa combout (165:165:165) (173:173:173)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (166:166:166) (174:174:174)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[1\]\~9) + (DELAY + (ABSOLUTE + (PORT dataa (296:296:296) (342:342:342)) + (PORT datab (102:102:102) (131:131:131)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (191:191:191) (181:181:181)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[2\]\~11) + (DELAY + (ABSOLUTE + (PORT dataa (174:174:174) (216:216:216)) + (PORT datab (103:103:103) (132:132:132)) + (IOPATH dataa combout (165:165:165) (173:173:173)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (166:166:166) (174:174:174)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (PORT asdata (614:614:614) (671:671:671)) + (PORT clrn (863:863:863) (867:867:867)) + (PORT sload (815:815:815) (744:744:744)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sload (posedge clk) (84:84:84)) + (HOLD asdata (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~0) + (DELAY + (ABSOLUTE + (PORT dataa (451:451:451) (539:539:539)) + (PORT datab (437:437:437) (522:522:522)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (167:167:167) (158:158:158)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~2) + (DELAY + (ABSOLUTE + (PORT dataa (427:427:427) (507:507:507)) + (PORT datab (729:729:729) (860:860:860)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (167:167:167) (176:176:176)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~4) + (DELAY + (ABSOLUTE + (PORT datab (355:355:355) (437:437:437)) + (IOPATH datab combout (188:188:188) (181:181:181)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~6) + (DELAY + (ABSOLUTE + (IOPATH cin combout (187:187:187) (204:204:204)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~0) + (DELAY + (ABSOLUTE + (PORT dataa (334:334:334) (408:408:408)) + (PORT datab (209:209:209) (267:267:267)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (190:190:190) (181:181:181)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~2) + (DELAY + (ABSOLUTE + (PORT dataa (339:339:339) (414:414:414)) + (PORT datab (430:430:430) (513:513:513)) + (IOPATH dataa combout (165:165:165) (173:173:173)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (166:166:166) (174:174:174)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~4) + (DELAY + (ABSOLUTE + (PORT dataa (350:350:350) (420:420:420)) + (PORT datab (424:424:424) (508:508:508)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (191:191:191) (181:181:181)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~6) + (DELAY + (ABSOLUTE + (PORT dataa (346:346:346) (419:419:419)) + (IOPATH dataa combout (165:165:165) (173:173:173)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~8) + (DELAY + (ABSOLUTE + (PORT dataa (336:336:336) (407:407:407)) + (IOPATH dataa combout (188:188:188) (193:193:193)) + (IOPATH cin combout (187:187:187) (204:204:204)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~0) + (DELAY + (ABSOLUTE + (PORT dataa (449:449:449) (537:537:537)) + (PORT datab (439:439:439) (523:523:523)) + (IOPATH dataa combout (166:166:166) (159:159:159)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (190:190:190) (181:181:181)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~4) + (DELAY + (ABSOLUTE + (PORT datab (356:356:356) (438:438:438)) + (IOPATH datab combout (166:166:166) (176:176:176)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~6) + (DELAY + (ABSOLUTE + (IOPATH cin combout (187:187:187) (204:204:204)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~2) + (DELAY + (ABSOLUTE + (PORT dataa (703:703:703) (828:828:828)) + (PORT datab (346:346:346) (419:419:419)) + (IOPATH dataa combout (165:165:165) (173:173:173)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (166:166:166) (174:174:174)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~6) + (DELAY + (ABSOLUTE + (PORT dataa (347:347:347) (420:420:420)) + (PORT datab (338:338:338) (414:414:414)) + (IOPATH dataa combout (165:165:165) (173:173:173)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (166:166:166) (174:174:174)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~8) + (DELAY + (ABSOLUTE + (PORT dataa (335:335:335) (406:406:406)) + (IOPATH dataa combout (188:188:188) (193:193:193)) + (IOPATH cin combout (187:187:187) (204:204:204)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~0) + (DELAY + (ABSOLUTE + (PORT dataa (335:335:335) (406:406:406)) + (PORT datab (238:238:238) (297:297:297)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (190:190:190) (181:181:181)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~2) + (DELAY + (ABSOLUTE + (PORT dataa (346:346:346) (418:418:418)) + (IOPATH dataa combout (165:165:165) (173:173:173)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~4) + (DELAY + (ABSOLUTE + (PORT dataa (329:329:329) (397:397:397)) + (IOPATH dataa combout (186:186:186) (175:175:175)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~6) + (DELAY + (ABSOLUTE + (PORT datad (223:223:223) (278:278:278)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~0) + (DELAY + (ABSOLUTE + (PORT dataa (337:337:337) (407:407:407)) + (PORT datab (238:238:238) (297:297:297)) + (IOPATH dataa combout (172:172:172) (165:165:165)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (169:169:169) (167:167:167)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~2) + (DELAY + (ABSOLUTE + (PORT dataa (345:345:345) (417:417:417)) + (IOPATH dataa combout (166:166:166) (173:173:173)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~4) + (DELAY + (ABSOLUTE + (PORT dataa (328:328:328) (396:396:396)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (872:872:872) (876:876:876)) + (PORT d (37:37:37) (50:50:50)) + (PORT asdata (633:633:633) (701:701:701)) + (PORT clrn (865:865:865) (868:868:868)) + (PORT sload (767:767:767) (696:696:696)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sload (posedge clk) (84:84:84)) + (HOLD asdata (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~0) + (DELAY + (ABSOLUTE + (PORT dataa (347:347:347) (429:429:429)) + (PORT datab (348:348:348) (419:419:419)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (167:167:167) (158:158:158)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~4) + (DELAY + (ABSOLUTE + (PORT datab (312:312:312) (380:380:380)) + (IOPATH datab combout (188:188:188) (181:181:181)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~0) + (DELAY + (ABSOLUTE + (PORT dataa (320:320:320) (377:377:377)) + (PORT datab (141:141:141) (188:188:188)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (190:190:190) (181:181:181)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~2) + (DELAY + (ABSOLUTE + (PORT dataa (213:213:213) (273:273:273)) + (PORT datab (311:311:311) (371:371:371)) + (IOPATH dataa combout (165:165:165) (173:173:173)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (166:166:166) (174:174:174)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~4) + (DELAY + (ABSOLUTE + (PORT dataa (143:143:143) (194:194:194)) + (PORT datab (308:308:308) (367:367:367)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (191:191:191) (181:181:181)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~6) + (DELAY + (ABSOLUTE + (PORT dataa (318:318:318) (380:380:380)) + (IOPATH dataa combout (165:165:165) (173:173:173)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~0) + (DELAY + (ABSOLUTE + (PORT dataa (346:346:346) (428:428:428)) + (PORT datab (344:344:344) (415:415:415)) + (IOPATH dataa combout (166:166:166) (159:159:159)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (190:190:190) (181:181:181)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~4) + (DELAY + (ABSOLUTE + (PORT datab (309:309:309) (377:377:377)) + (IOPATH datab combout (166:166:166) (176:176:176)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~2) + (DELAY + (ABSOLUTE + (PORT dataa (311:311:311) (378:378:378)) + (PORT datab (148:148:148) (199:199:199)) + (IOPATH dataa combout (165:165:165) (173:173:173)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (166:166:166) (174:174:174)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~4) + (DELAY + (ABSOLUTE + (PORT dataa (148:148:148) (201:201:201)) + (PORT datab (321:321:321) (390:390:390)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (191:191:191) (181:181:181)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~6) + (DELAY + (ABSOLUTE + (PORT dataa (329:329:329) (404:404:404)) + (PORT datab (143:143:143) (192:192:192)) + (IOPATH dataa combout (165:165:165) (173:173:173)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (166:166:166) (174:174:174)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~8) + (DELAY + (ABSOLUTE + (PORT datad (148:148:148) (187:187:187)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~2) + (DELAY + (ABSOLUTE + (PORT datab (217:217:217) (273:273:273)) + (IOPATH datab combout (166:166:166) (176:176:176)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~4) + (DELAY + (ABSOLUTE + (PORT dataa (218:218:218) (278:278:278)) + (IOPATH dataa combout (186:186:186) (175:175:175)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~6) + (DELAY + (ABSOLUTE + (PORT datad (227:227:227) (277:277:277)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~0) + (DELAY + (ABSOLUTE + (PORT dataa (346:346:346) (415:415:415)) + (PORT datab (327:327:327) (392:392:392)) + (IOPATH dataa combout (172:172:172) (165:165:165)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (169:169:169) (167:167:167)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~2) + (DELAY + (ABSOLUTE + (PORT datab (220:220:220) (276:276:276)) + (IOPATH datab combout (167:167:167) (174:174:174)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~4) + (DELAY + (ABSOLUTE + (PORT dataa (220:220:220) (281:281:281)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~6) + (DELAY + (ABSOLUTE + (PORT datad (229:229:229) (279:279:279)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (875:875:875) (880:880:880)) + (PORT d (37:37:37) (50:50:50)) + (PORT asdata (642:642:642) (715:715:715)) + (PORT clrn (868:868:868) (872:872:872)) + (PORT sload (781:781:781) (707:707:707)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sload (posedge clk) (84:84:84)) + (HOLD asdata (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[6\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (PORT asdata (609:609:609) (668:668:668)) + (PORT clrn (863:863:863) (867:867:867)) + (PORT sload (693:693:693) (641:641:641)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sload (posedge clk) (84:84:84)) + (HOLD asdata (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[7\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT asdata (666:666:666) (769:769:769)) + (PORT clrn (860:860:860) (864:864:864)) + (PORT sload (600:600:600) (548:548:548)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sload (posedge clk) (84:84:84)) + (HOLD asdata (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~2) + (DELAY + (ABSOLUTE + (PORT dataa (148:148:148) (200:200:200)) + (IOPATH dataa combout (165:165:165) (173:173:173)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~10) + (DELAY + (ABSOLUTE + (PORT dataa (375:375:375) (458:458:458)) + (IOPATH dataa combout (165:165:165) (173:173:173)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~2) + (DELAY + (ABSOLUTE + (PORT datab (227:227:227) (283:283:283)) + (IOPATH datab combout (166:166:166) (176:176:176)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~6) + (DELAY + (ABSOLUTE + (PORT dataa (218:218:218) (280:280:280)) + (IOPATH dataa combout (165:165:165) (173:173:173)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~8) + (DELAY + (ABSOLUTE + (PORT datab (229:229:229) (285:285:285)) + (IOPATH datab combout (192:192:192) (177:177:177)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~10) + (DELAY + (ABSOLUTE + (PORT dataa (144:144:144) (195:195:195)) + (IOPATH dataa combout (165:165:165) (173:173:173)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~12) + (DELAY + (ABSOLUTE + (PORT datab (228:228:228) (285:285:285)) + (IOPATH datab combout (192:192:192) (177:177:177)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~14) + (DELAY + (ABSOLUTE + (PORT dataa (214:214:214) (273:273:273)) + (IOPATH dataa combout (165:165:165) (173:173:173)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~16) + (DELAY + (ABSOLUTE + (PORT datab (228:228:228) (284:284:284)) + (IOPATH datab combout (192:192:192) (177:177:177)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~18) + (DELAY + (ABSOLUTE + (PORT datab (224:224:224) (280:280:280)) + (IOPATH datab combout (166:166:166) (176:176:176)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~20) + (DELAY + (ABSOLUTE + (PORT dataa (143:143:143) (194:194:194)) + (IOPATH dataa combout (186:186:186) (175:175:175)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~1) + (DELAY + (ABSOLUTE + (PORT datac (117:117:117) (157:157:157)) + (PORT datad (171:171:171) (227:227:227)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (869:869:869) (874:874:874)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (862:862:862) (866:866:866)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~1) + (DELAY + (ABSOLUTE + (PORT dataa (232:232:232) (299:299:299)) + (PORT datab (249:249:249) (308:308:308)) + (PORT datac (202:202:202) (255:255:255)) + (PORT datad (197:197:197) (247:247:247)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (120:120:120) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal1\~0) + (DELAY + (ABSOLUTE + (PORT dataa (327:327:327) (396:396:396)) + (PORT datab (242:242:242) (303:303:303)) + (PORT datac (220:220:220) (273:273:273)) + (PORT datad (211:211:211) (261:261:261)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (875:875:875) (880:880:880)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (868:868:868) (872:872:872)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~1) + (DELAY + (ABSOLUTE + (PORT dataa (347:347:347) (429:429:429)) + (PORT datab (347:347:347) (419:419:419)) + (PORT datac (340:340:340) (418:418:418)) + (PORT datad (314:314:314) (370:370:370)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (120:120:120) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (875:875:875) (880:880:880)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (868:868:868) (872:872:872)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~2) + (DELAY + (ABSOLUTE + (PORT datac (122:122:122) (166:166:166)) + (PORT datad (170:170:170) (226:226:226)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~0) + (DELAY + (ABSOLUTE + (PORT dataa (308:308:308) (356:356:356)) + (PORT datab (332:332:332) (388:388:388)) + (PORT datac (274:274:274) (309:309:309)) + (PORT datad (103:103:103) (119:119:119)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (188:188:188) (177:177:177)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~1) + (DELAY + (ABSOLUTE + (PORT dataa (287:287:287) (336:336:336)) + (PORT datab (104:104:104) (133:133:133)) + (PORT datac (267:267:267) (302:302:302)) + (PORT datad (288:288:288) (326:326:326)) + (IOPATH dataa combout (172:172:172) (163:163:163)) + (IOPATH datab combout (161:161:161) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~3) + (DELAY + (ABSOLUTE + (PORT dataa (105:105:105) (137:137:137)) + (PORT datab (322:322:322) (375:375:375)) + (PORT datac (196:196:196) (236:236:236)) + (PORT datad (166:166:166) (196:196:196)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (188:188:188) (193:193:193)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~4) + (DELAY + (ABSOLUTE + (PORT dataa (176:176:176) (219:219:219)) + (PORT datab (193:193:193) (232:232:232)) + (PORT datac (92:92:92) (113:113:113)) + (PORT datad (313:313:313) (355:355:355)) + (IOPATH dataa combout (165:165:165) (163:163:163)) + (IOPATH datab combout (167:167:167) (167:167:167)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~5) + (DELAY + (ABSOLUTE + (PORT dataa (334:334:334) (387:387:387)) + (PORT datab (332:332:332) (388:388:388)) + (PORT datac (91:91:91) (113:113:113)) + (PORT datad (167:167:167) (197:197:197)) + (IOPATH dataa combout (165:165:165) (159:159:159)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~7) + (DELAY + (ABSOLUTE + (PORT dataa (299:299:299) (351:351:351)) + (PORT datab (103:103:103) (132:132:132)) + (PORT datac (196:196:196) (235:235:235)) + (PORT datad (312:312:312) (353:353:353)) + (IOPATH dataa combout (165:165:165) (163:163:163)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~8) + (DELAY + (ABSOLUTE + (PORT dataa (279:279:279) (322:322:322)) + (PORT datab (186:186:186) (223:223:223)) + (PORT datac (158:158:158) (187:187:187)) + (PORT datad (201:201:201) (231:231:231)) + (IOPATH dataa combout (172:172:172) (163:163:163)) + (IOPATH datab combout (161:161:161) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~11) + (DELAY + (ABSOLUTE + (PORT dataa (176:176:176) (219:219:219)) + (PORT datab (103:103:103) (132:132:132)) + (PORT datac (196:196:196) (235:235:235)) + (PORT datad (311:311:311) (353:353:353)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~12) + (DELAY + (ABSOLUTE + (PORT dataa (105:105:105) (137:137:137)) + (PORT datab (278:278:278) (327:327:327)) + (PORT datac (91:91:91) (113:113:113)) + (PORT datad (308:308:308) (349:349:349)) + (IOPATH dataa combout (172:172:172) (163:163:163)) + (IOPATH datab combout (161:161:161) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~16) + (DELAY + (ABSOLUTE + (PORT dataa (216:216:216) (261:261:261)) + (PORT datac (316:316:316) (369:369:369)) + (PORT datad (132:132:132) (169:169:169)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH datac combout (120:120:120) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal1\~1) + (DELAY + (ABSOLUTE + (PORT datac (239:239:239) (298:298:298)) + (PORT datad (95:95:95) (114:114:114)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add12\~1) + (DELAY + (ABSOLUTE + (PORT datab (517:517:517) (623:623:623)) + (PORT datad (487:487:487) (585:585:585)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (869:869:869) (874:874:874)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (862:862:862) (866:866:866)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~2) + (DELAY + (ABSOLUTE + (PORT dataa (302:302:302) (371:371:371)) + (PORT datab (372:372:372) (449:449:449)) + (PORT datac (319:319:319) (365:365:365)) + (PORT datad (317:317:317) (363:363:363)) + (IOPATH dataa combout (195:195:195) (203:203:203)) + (IOPATH datab combout (161:161:161) (167:167:167)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~2) + (DELAY + (ABSOLUTE + (PORT dataa (131:131:131) (182:182:182)) + (PORT datab (132:132:132) (180:180:180)) + (PORT datac (436:436:436) (540:540:540)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (120:120:120) (125:125:125)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~0) + (DELAY + (ABSOLUTE + (PORT dataa (350:350:350) (414:414:414)) + (PORT datab (105:105:105) (134:134:134)) + (PORT datac (174:174:174) (209:209:209)) + (PORT datad (433:433:433) (497:497:497)) + (IOPATH dataa combout (188:188:188) (179:179:179)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~1) + (DELAY + (ABSOLUTE + (PORT dataa (270:270:270) (316:316:316)) + (PORT datab (103:103:103) (131:131:131)) + (PORT datac (90:90:90) (112:112:112)) + (PORT datad (432:432:432) (496:496:496)) + (IOPATH dataa combout (166:166:166) (163:163:163)) + (IOPATH datab combout (166:166:166) (167:167:167)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~5) + (DELAY + (ABSOLUTE + (PORT dataa (118:118:118) (150:150:150)) + (PORT datab (269:269:269) (316:316:316)) + (PORT datac (386:386:386) (437:437:437)) + (PORT datad (312:312:312) (381:381:381)) + (IOPATH dataa combout (186:186:186) (175:175:175)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (120:120:120) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~6) + (DELAY + (ABSOLUTE + (PORT dataa (400:400:400) (460:460:460)) + (PORT datab (172:172:172) (210:210:210)) + (PORT datac (89:89:89) (110:110:110)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~7) + (DELAY + (ABSOLUTE + (PORT dataa (411:411:411) (474:474:474)) + (PORT datab (103:103:103) (131:131:131)) + (PORT datac (594:594:594) (693:693:693)) + (PORT datad (200:200:200) (235:235:235)) + (IOPATH dataa combout (166:166:166) (173:173:173)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~8) + (DELAY + (ABSOLUTE + (PORT dataa (278:278:278) (321:321:321)) + (PORT datab (101:101:101) (130:130:130)) + (PORT datac (396:396:396) (450:450:450)) + (PORT datad (322:322:322) (387:387:387)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (191:191:191) (181:181:181)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~13) + (DELAY + (ABSOLUTE + (PORT dataa (106:106:106) (138:138:138)) + (PORT datab (191:191:191) (231:231:231)) + (PORT datac (329:329:329) (392:392:392)) + (PORT datad (433:433:433) (498:498:498)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~14) + (DELAY + (ABSOLUTE + (PORT dataa (104:104:104) (135:135:135)) + (PORT datab (102:102:102) (130:130:130)) + (PORT datac (162:162:162) (194:194:194)) + (PORT datad (433:433:433) (498:498:498)) + (IOPATH dataa combout (166:166:166) (159:159:159)) + (IOPATH datab combout (192:192:192) (177:177:177)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~16) + (DELAY + (ABSOLUTE + (PORT datab (333:333:333) (391:391:391)) + (PORT datac (199:199:199) (251:251:251)) + (PORT datad (316:316:316) (386:386:386)) + (IOPATH datab combout (191:191:191) (181:181:181)) + (IOPATH datac combout (120:120:120) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal2\~1) + (DELAY + (ABSOLUTE + (PORT dataa (179:179:179) (217:217:217)) + (PORT datad (314:314:314) (383:383:383)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT asdata (321:321:321) (376:376:376)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~2) + (DELAY + (ABSOLUTE + (PORT dataa (157:157:157) (213:213:213)) + (PORT datab (301:301:301) (350:350:350)) + (PORT datad (299:299:299) (339:339:339)) + (IOPATH dataa combout (166:166:166) (173:173:173)) + (IOPATH datab combout (196:196:196) (205:205:205)) + (IOPATH datac combout (190:190:190) (195:195:195)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~2) + (DELAY + (ABSOLUTE + (PORT dataa (105:105:105) (136:136:136)) + (PORT datab (103:103:103) (132:132:132)) + (PORT datac (312:312:312) (369:369:369)) + (PORT datad (287:287:287) (326:326:326)) + (IOPATH dataa combout (165:165:165) (163:163:163)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~3) + (DELAY + (ABSOLUTE + (PORT dataa (281:281:281) (328:328:328)) + (PORT datab (321:321:321) (381:381:381)) + (PORT datac (306:306:306) (357:357:357)) + (PORT datad (92:92:92) (110:110:110)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (188:188:188) (177:177:177)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~4) + (DELAY + (ABSOLUTE + (PORT dataa (299:299:299) (348:348:348)) + (PORT datab (103:103:103) (132:132:132)) + (PORT datac (90:90:90) (112:112:112)) + (PORT datad (304:304:304) (358:358:358)) + (IOPATH dataa combout (166:166:166) (163:163:163)) + (IOPATH datab combout (166:166:166) (167:167:167)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~9) + (DELAY + (ABSOLUTE + (PORT dataa (335:335:335) (393:393:393)) + (PORT datab (103:103:103) (132:132:132)) + (PORT datac (289:289:289) (346:346:346)) + (PORT datad (295:295:295) (344:344:344)) + (IOPATH dataa combout (195:195:195) (193:193:193)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~10) + (DELAY + (ABSOLUTE + (PORT dataa (105:105:105) (137:137:137)) + (PORT datab (323:323:323) (392:392:392)) + (PORT datac (160:160:160) (186:186:186)) + (PORT datad (294:294:294) (344:344:344)) + (IOPATH dataa combout (165:165:165) (163:163:163)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~11) + (DELAY + (ABSOLUTE + (PORT dataa (103:103:103) (135:135:135)) + (PORT datab (273:273:273) (318:318:318)) + (PORT datac (311:311:311) (363:363:363)) + (PORT datad (305:305:305) (359:359:359)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~12) + (DELAY + (ABSOLUTE + (PORT dataa (175:175:175) (212:212:212)) + (PORT datab (190:190:190) (229:229:229)) + (PORT datac (90:90:90) (111:111:111)) + (PORT datad (304:304:304) (359:359:359)) + (IOPATH dataa combout (165:165:165) (163:163:163)) + (IOPATH datab combout (167:167:167) (167:167:167)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~13) + (DELAY + (ABSOLUTE + (PORT dataa (336:336:336) (395:395:395)) + (PORT datab (221:221:221) (281:281:281)) + (PORT datac (90:90:90) (112:112:112)) + (PORT datad (296:296:296) (345:345:345)) + (IOPATH dataa combout (195:195:195) (193:193:193)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~16) + (DELAY + (ABSOLUTE + (PORT datab (156:156:156) (210:210:210)) + (PORT datac (309:309:309) (364:364:364)) + (PORT datad (309:309:309) (359:359:359)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal2\~1) + (DELAY + (ABSOLUTE + (PORT datab (308:308:308) (355:355:355)) + (PORT datad (205:205:205) (257:257:257)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add14\~1) + (DELAY + (ABSOLUTE + (PORT datab (391:391:391) (478:478:478)) + (PORT datac (412:412:412) (510:510:510)) + (PORT datad (386:386:386) (471:471:471)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (875:875:875) (880:880:880)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (868:868:868) (872:872:872)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~2) + (DELAY + (ABSOLUTE + (PORT dataa (156:156:156) (212:212:212)) + (PORT datab (130:130:130) (178:178:178)) + (PORT datac (288:288:288) (331:331:331)) + (PORT datad (300:300:300) (342:342:342)) + (IOPATH dataa combout (181:181:181) (184:184:184)) + (IOPATH datab combout (192:192:192) (188:188:188)) + (IOPATH datac combout (120:120:120) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~3) + (DELAY + (ABSOLUTE + (PORT datab (493:493:493) (588:588:588)) + (PORT datac (381:381:381) (469:469:469)) + (PORT datad (272:272:272) (316:316:316)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~0) + (DELAY + (ABSOLUTE + (PORT dataa (326:326:326) (390:390:390)) + (PORT datab (146:146:146) (197:197:197)) + (PORT datac (133:133:133) (177:177:177)) + (PORT datad (133:133:133) (171:171:171)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[10\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~3) + (DELAY + (ABSOLUTE + (PORT dataa (286:286:286) (339:339:339)) + (PORT datab (342:342:342) (412:412:412)) + (PORT datac (284:284:284) (321:321:321)) + (PORT datad (94:94:94) (113:113:113)) + (IOPATH dataa combout (166:166:166) (163:163:163)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[3\]\~0) + (DELAY + (ABSOLUTE + (PORT datac (460:460:460) (544:544:544)) + (PORT datad (485:485:485) (583:583:583)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (869:869:869) (874:874:874)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (862:862:862) (866:866:866)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~5) + (DELAY + (ABSOLUTE + (PORT dataa (305:305:305) (374:374:374)) + (PORT datab (372:372:372) (450:450:450)) + (PORT datac (319:319:319) (365:365:365)) + (PORT datad (317:317:317) (363:363:363)) + (IOPATH dataa combout (195:195:195) (203:203:203)) + (IOPATH datab combout (161:161:161) (167:167:167)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~3) + (DELAY + (ABSOLUTE + (PORT datab (198:198:198) (254:254:254)) + (PORT datac (435:435:435) (540:540:540)) + (PORT datad (118:118:118) (155:155:155)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~3) + (DELAY + (ABSOLUTE + (PORT dataa (134:134:134) (186:186:186)) + (PORT datab (429:429:429) (528:528:528)) + (PORT datac (116:116:116) (157:157:157)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (190:190:190) (188:188:188)) + (IOPATH datac combout (119:119:119) (124:124:124)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT asdata (316:316:316) (361:361:361)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~4) + (DELAY + (ABSOLUTE + (PORT dataa (153:153:153) (208:208:208)) + (PORT datab (306:306:306) (356:356:356)) + (PORT datad (293:293:293) (333:333:333)) + (IOPATH dataa combout (166:166:166) (173:173:173)) + (IOPATH datab combout (196:196:196) (205:205:205)) + (IOPATH datac combout (190:190:190) (195:195:195)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[3\]\~0) + (DELAY + (ABSOLUTE + (PORT datac (410:410:410) (507:507:507)) + (PORT datad (371:371:371) (451:451:451)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (875:875:875) (880:880:880)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (868:868:868) (872:872:872)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~5) + (DELAY + (ABSOLUTE + (PORT dataa (128:128:128) (163:163:163)) + (PORT datab (132:132:132) (180:180:180)) + (PORT datac (219:219:219) (273:273:273)) + (PORT datad (112:112:112) (133:133:133)) + (IOPATH dataa combout (195:195:195) (193:193:193)) + (IOPATH datab combout (196:196:196) (192:192:192)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (875:875:875) (880:880:880)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (874:874:874)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (863:863:863) (866:866:866)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_x\[10\]\~1) + (DELAY + (ABSOLUTE + (PORT datac (328:328:328) (387:387:387)) + (PORT datad (201:201:201) (234:234:234)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|always0\~1) + (DELAY + (ABSOLUTE + (PORT dataa (362:362:362) (429:429:429)) + (PORT datac (463:463:463) (536:536:536)) + (PORT datad (357:357:357) (421:421:421)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|always0\~2) + (DELAY + (ABSOLUTE + (PORT dataa (103:103:103) (134:134:134)) + (PORT datab (363:363:363) (422:422:422)) + (PORT datac (595:595:595) (684:684:684)) + (PORT datad (106:106:106) (125:125:125)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\[9\]\~14) + (DELAY + (ABSOLUTE + (PORT datac (463:463:463) (537:537:537)) + (PORT datad (347:347:347) (404:404:404)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~16) + (DELAY + (ABSOLUTE + (PORT dataa (114:114:114) (150:150:150)) + (PORT datab (112:112:112) (143:143:143)) + (PORT datac (333:333:333) (393:393:393)) + (PORT datad (328:328:328) (385:385:385)) + (IOPATH dataa combout (165:165:165) (159:159:159)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~8) + (DELAY + (ABSOLUTE + (PORT datab (349:349:349) (416:416:416)) + (PORT datac (322:322:322) (380:380:380)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (120:120:120) (125:125:125)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[10\]\~12) + (DELAY + (ABSOLUTE + (PORT dataa (104:104:104) (135:135:135)) + (PORT datab (556:556:556) (639:639:639)) + (PORT datad (213:213:213) (254:254:254)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (190:190:190) (195:195:195)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[4\]\~2) + (DELAY + (ABSOLUTE + (PORT datab (518:518:518) (623:623:623)) + (PORT datac (461:461:461) (545:545:545)) + (IOPATH datab combout (196:196:196) (205:205:205)) + (IOPATH datac combout (120:120:120) (125:125:125)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[6\]\~6) + (DELAY + (ABSOLUTE + (PORT dataa (335:335:335) (389:389:389)) + (PORT datab (370:370:370) (448:448:448)) + (PORT datad (317:317:317) (363:363:363)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (863:863:863) (867:867:867)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~4) + (DELAY + (ABSOLUTE + (PORT dataa (132:132:132) (182:182:182)) + (PORT datac (435:435:435) (540:540:540)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datac combout (119:119:119) (124:124:124)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|LessThan10\~0) + (DELAY + (ABSOLUTE + (PORT dataa (347:347:347) (415:415:415)) + (PORT datab (333:333:333) (385:385:385)) + (PORT datac (199:199:199) (244:244:244)) + (PORT datad (204:204:204) (238:238:238)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (160:160:160) (167:167:167)) + (IOPATH datac combout (120:120:120) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~22) + (DELAY + (ABSOLUTE + (PORT datac (328:328:328) (388:388:388)) + (PORT datad (178:178:178) (210:210:210)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~23) + (DELAY + (ABSOLUTE + (PORT dataa (217:217:217) (262:262:262)) + (PORT datab (105:105:105) (134:134:134)) + (PORT datac (200:200:200) (246:246:246)) + (PORT datad (198:198:198) (233:233:233)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datab combout (166:166:166) (158:158:158)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|LessThan14\~1) + (DELAY + (ABSOLUTE + (PORT dataa (223:223:223) (264:264:264)) + (PORT datad (177:177:177) (210:210:210)) + (IOPATH dataa combout (166:166:166) (163:163:163)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\[13\]\~24) + (DELAY + (ABSOLUTE + (PORT dataa (344:344:344) (412:412:412)) + (PORT datab (103:103:103) (131:131:131)) + (PORT datac (198:198:198) (244:244:244)) + (PORT datad (205:205:205) (238:238:238)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~25) + (DELAY + (ABSOLUTE + (PORT dataa (110:110:110) (145:145:145)) + (PORT datab (290:290:290) (339:339:339)) + (PORT datac (91:91:91) (114:114:114)) + (PORT datad (94:94:94) (113:113:113)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m\[5\]\~0) + (DELAY + (ABSOLUTE + (PORT datab (156:156:156) (209:209:209)) + (PORT datac (154:154:154) (212:212:212)) + (PORT datad (218:218:218) (268:268:268)) + (IOPATH datab combout (196:196:196) (205:205:205)) + (IOPATH datac combout (120:120:120) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[7\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~5) + (DELAY + (ABSOLUTE + (PORT dataa (330:330:330) (396:396:396)) + (PORT datab (308:308:308) (357:357:357)) + (PORT datac (358:358:358) (402:402:402)) + (PORT datad (310:310:310) (370:370:370)) + (IOPATH dataa combout (195:195:195) (193:193:193)) + (IOPATH datab combout (196:196:196) (192:192:192)) + (IOPATH datac combout (120:120:120) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[9\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~4) + (DELAY + (ABSOLUTE + (PORT datab (133:133:133) (181:181:181)) + (PORT datac (409:409:409) (507:507:507)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[4\]\~2) + (DELAY + (ABSOLUTE + (PORT datab (375:375:375) (455:455:455)) + (PORT datad (366:366:366) (441:441:441)) + (IOPATH datab combout (192:192:192) (181:181:181)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (875:875:875) (880:880:880)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (868:868:868) (872:872:872)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~4) + (DELAY + (ABSOLUTE + (PORT dataa (132:132:132) (184:184:184)) + (PORT datab (417:417:417) (514:514:514)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (168:168:168) (167:167:167)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~8) + (DELAY + (ABSOLUTE + (PORT dataa (433:433:433) (518:518:518)) + (PORT datab (335:335:335) (398:398:398)) + (PORT datac (492:492:492) (594:594:594)) + (IOPATH dataa combout (181:181:181) (193:193:193)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (120:120:120) (125:125:125)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m\[7\]\~1) + (DELAY + (ABSOLUTE + (PORT datab (162:162:162) (218:218:218)) + (PORT datac (146:146:146) (203:203:203)) + (PORT datad (224:224:224) (274:274:274)) + (IOPATH datab combout (196:196:196) (205:205:205)) + (IOPATH datac combout (120:120:120) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~6) + (DELAY + (ABSOLUTE + (PORT dataa (238:238:238) (304:304:304)) + (PORT datab (147:147:147) (198:198:198)) + (PORT datac (490:490:490) (594:594:594)) + (PORT datad (103:103:103) (119:119:119)) + (IOPATH dataa combout (166:166:166) (173:173:173)) + (IOPATH datab combout (188:188:188) (177:177:177)) + (IOPATH datac combout (120:120:120) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~8) + (DELAY + (ABSOLUTE + (PORT dataa (401:401:401) (490:490:490)) + (PORT datab (493:493:493) (589:589:589)) + (PORT datac (220:220:220) (274:274:274)) + (IOPATH dataa combout (181:181:181) (193:193:193)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (124:124:124)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~37) + (DELAY + (ABSOLUTE + (PORT dataa (339:339:339) (399:399:399)) + (PORT datab (218:218:218) (261:261:261)) + (PORT datac (334:334:334) (394:394:394)) + (PORT datad (95:95:95) (114:114:114)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_clkctrl") + (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|wire_pll1_clk\[0\]\~clkctrl) + (DELAY + (ABSOLUTE + (PORT inclk[0] (1120:1120:1120) (1119:1119:1119)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[3\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (161:161:161) (184:184:184)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[2\]\~feeder) + (DELAY + (ABSOLUTE + (PORT dataa (437:437:437) (501:501:501)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[3\]\~feeder) + (DELAY + (ABSOLUTE + (PORT dataa (330:330:330) (388:388:388)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[4\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (169:169:169) (198:198:198)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[4\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datab (444:444:444) (508:508:508)) + (IOPATH datab combout (168:168:168) (167:167:167)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (91:91:91) (109:109:109)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[7\]\~feeder) + (DELAY + (ABSOLUTE + (PORT dataa (105:105:105) (137:137:137)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE tmds_clk_p\~output) + (DELAY + (ABSOLUTE + (IOPATH i o (1555:1555:1555) (1528:1528:1528)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE tmds_clk_n\~output) + (DELAY + (ABSOLUTE + (IOPATH i o (1555:1555:1555) (1528:1528:1528)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE tmds_data_p\[0\]\~output) + (DELAY + (ABSOLUTE + (IOPATH i o (1565:1565:1565) (1538:1538:1538)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE tmds_data_p\[1\]\~output) + (DELAY + (ABSOLUTE + (IOPATH i o (1565:1565:1565) (1538:1538:1538)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE tmds_data_p\[2\]\~output) + (DELAY + (ABSOLUTE + (IOPATH i o (1565:1565:1565) (1538:1538:1538)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE tmds_data_n\[0\]\~output) + (DELAY + (ABSOLUTE + (IOPATH i o (1555:1555:1555) (1528:1528:1528)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE tmds_data_n\[1\]\~output) + (DELAY + (ABSOLUTE + (IOPATH i o (1575:1575:1575) (1548:1548:1548)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE tmds_data_n\[2\]\~output) + (DELAY + (ABSOLUTE + (IOPATH i o (1565:1565:1565) (1538:1538:1538)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\~0) + (DELAY + (ABSOLUTE + (PORT datab (186:186:186) (250:250:250)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (190:190:190) (195:195:195)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|Add0\~1) + (DELAY + (ABSOLUTE + (PORT datad (122:122:122) (160:160:160)) + (IOPATH datac combout (190:190:190) (195:195:195)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (PORT sclr (396:396:396) (485:485:485)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sclr (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|Add0\~0) + (DELAY + (ABSOLUTE + (PORT datab (130:130:130) (179:179:179)) + (PORT datad (122:122:122) (161:161:161)) + (IOPATH datab combout (166:166:166) (176:176:176)) + (IOPATH datac combout (190:190:190) (195:195:195)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (PORT sclr (396:396:396) (485:485:485)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sclr (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~0) + (DELAY + (ABSOLUTE + (PORT dataa (131:131:131) (182:182:182)) + (PORT datad (171:171:171) (226:226:226)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[4\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (172:172:172) (226:226:226)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~3) + (DELAY + (ABSOLUTE + (PORT datab (188:188:188) (254:254:254)) + (PORT datac (120:120:120) (162:162:162)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~2) + (DELAY + (ABSOLUTE + (PORT datac (120:120:120) (163:163:163)) + (PORT datad (174:174:174) (228:228:228)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~1) + (DELAY + (ABSOLUTE + (PORT datab (134:134:134) (183:183:183)) + (PORT datad (171:171:171) (226:226:226)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~0) + (DELAY + (ABSOLUTE + (PORT datab (131:131:131) (179:179:179)) + (PORT datad (171:171:171) (225:225:225)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_ibuf") + (INSTANCE sys_clk\~input) + (DELAY + (ABSOLUTE + (IOPATH i o (358:358:358) (738:738:738)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_clkctrl") + (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|wire_pll1_clk\[1\]\~clkctrl) + (DELAY + (ABSOLUTE + (PORT inclk[0] (1120:1120:1120) (1119:1119:1119)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_latch") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi) + (DELAY + (ABSOLUTE + (PORT ENA (839:839:839) (834:834:834)) + (PORT D (525:525:525) (592:592:592)) + (IOPATH (negedge ENA) Q (103:103:103) (103:103:103)) + ) + ) + (TIMINGCHECK + (SETUP D (negedge ENA) (231:231:231)) + (HOLD D (negedge ENA) (58:58:58)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo) + (DELAY + (ABSOLUTE + (PORT clk (839:839:839) (834:834:834)) + (PORT d (533:533:533) (579:579:579)) + (IOPATH (posedge clk) q (103:103:103) (103:103:103)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (40:40:40)) + (HOLD d (posedge clk) (58:58:58)) + ) + ) + (CELL + (CELLTYPE "cycloneive_mux21") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux) + (DELAY + (ABSOLUTE + (PORT A (0:0:0) (0:0:0)) + (PORT B (0:0:0) (0:0:0)) + (PORT S (918:918:918) (937:937:937)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_routing_wire") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay) + (DELAY + (ABSOLUTE + (IOPATH datain dataout (243:243:243) (236:236:236)) + ) + ) + (DELAY + (PATHPULSE datain dataout (236:236:236)) + ) + ) + (CELL + (CELLTYPE "cycloneive_latch") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi) + (DELAY + (ABSOLUTE + (PORT ENA (842:842:842) (837:837:837)) + (PORT D (397:397:397) (362:362:362)) + (IOPATH (negedge ENA) Q (103:103:103) (103:103:103)) + ) + ) + (TIMINGCHECK + (SETUP D (negedge ENA) (231:231:231)) + (HOLD D (negedge ENA) (58:58:58)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo) + (DELAY + (ABSOLUTE + (PORT clk (842:842:842) (837:837:837)) + (PORT d (424:424:424) (379:379:379)) + (IOPATH (posedge clk) q (103:103:103) (103:103:103)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (40:40:40)) + (HOLD d (posedge clk) (58:58:58)) + ) + ) + (CELL + (CELLTYPE "cycloneive_mux21") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux) + (DELAY + (ABSOLUTE + (PORT A (0:0:0) (0:0:0)) + (PORT B (0:0:0) (0:0:0)) + (PORT S (921:921:921) (940:940:940)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_routing_wire") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay) + (DELAY + (ABSOLUTE + (IOPATH datain dataout (243:243:243) (236:236:236)) + ) + ) + (DELAY + (PATHPULSE datain dataout (236:236:236)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~0) + (DELAY + (ABSOLUTE + (PORT datab (147:147:147) (196:196:196)) + (IOPATH datab combout (192:192:192) (181:181:181)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_ibuf") + (INSTANCE sys_rst_n\~input) + (DELAY + (ABSOLUTE + (IOPATH i o (318:318:318) (698:698:698)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll_lock_sync) + (DELAY + (ABSOLUTE + (PORT clk (685:685:685) (607:607:607)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (2590:2590:2590) (2333:2333:2333)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE rst_n\~0) + (DELAY + (ABSOLUTE + (PORT dataa (2038:2038:2038) (2292:2292:2292)) + (PORT datab (132:132:132) (180:180:180)) + (PORT datad (364:364:364) (297:297:297)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_clkctrl") + (INSTANCE rst_n\~0clkctrl) + (DELAY + (ABSOLUTE + (PORT inclk[0] (771:771:771) (835:835:835)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (874:874:874)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (863:863:863) (866:866:866)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~4) + (DELAY + (ABSOLUTE + (PORT dataa (146:146:146) (197:197:197)) + (IOPATH dataa combout (186:186:186) (175:175:175)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~6) + (DELAY + (ABSOLUTE + (PORT datab (146:146:146) (196:196:196)) + (IOPATH datab combout (166:166:166) (176:176:176)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (874:874:874)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (863:863:863) (866:866:866)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~8) + (DELAY + (ABSOLUTE + (PORT datab (141:141:141) (189:189:189)) + (IOPATH datab combout (192:192:192) (177:177:177)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (874:874:874)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (863:863:863) (866:866:866)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~12) + (DELAY + (ABSOLUTE + (PORT datab (142:142:142) (190:190:190)) + (IOPATH datab combout (192:192:192) (177:177:177)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[6\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (874:874:874)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (863:863:863) (866:866:866)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~14) + (DELAY + (ABSOLUTE + (PORT dataa (160:160:160) (211:211:211)) + (IOPATH dataa combout (165:165:165) (173:173:173)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[7\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (874:874:874)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (863:863:863) (866:866:866)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (874:874:874)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (863:863:863) (866:866:866)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Equal0\~0) + (DELAY + (ABSOLUTE + (PORT dataa (147:147:147) (200:200:200)) + (PORT datab (146:146:146) (196:196:196)) + (PORT datac (132:132:132) (175:175:175)) + (PORT datad (134:134:134) (172:172:172)) + (IOPATH dataa combout (159:159:159) (163:163:163)) + (IOPATH datab combout (161:161:161) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~16) + (DELAY + (ABSOLUTE + (PORT datab (157:157:157) (205:205:205)) + (IOPATH datab combout (192:192:192) (177:177:177)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~18) + (DELAY + (ABSOLUTE + (PORT datab (162:162:162) (212:212:212)) + (IOPATH datab combout (166:166:166) (176:176:176)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~20) + (DELAY + (ABSOLUTE + (PORT datab (157:157:157) (207:207:207)) + (IOPATH datab combout (192:192:192) (177:177:177)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[10\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (874:874:874)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (863:863:863) (866:866:866)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~22) + (DELAY + (ABSOLUTE + (PORT dataa (158:158:158) (211:211:211)) + (IOPATH dataa combout (195:195:195) (203:203:203)) + (IOPATH cin combout (187:187:187) (204:204:204)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[11\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (874:874:874)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (863:863:863) (866:866:866)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Equal0\~1) + (DELAY + (ABSOLUTE + (PORT dataa (161:161:161) (214:214:214)) + (PORT datab (161:161:161) (211:211:211)) + (PORT datac (148:148:148) (191:191:191)) + (PORT datad (144:144:144) (183:183:183)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_h\~0) + (DELAY + (ABSOLUTE + (PORT dataa (421:421:421) (485:485:485)) + (PORT datab (356:356:356) (424:424:424)) + (PORT datac (350:350:350) (413:413:413)) + (PORT datad (438:438:438) (504:504:504)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (866:866:866) (871:871:871)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (859:859:859) (863:863:863)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Equal0\~2) + (DELAY + (ABSOLUTE + (PORT dataa (250:250:250) (311:311:311)) + (PORT datab (486:486:486) (577:577:577)) + (PORT datac (219:219:219) (275:275:275)) + (PORT datad (222:222:222) (273:273:273)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_h\~2) + (DELAY + (ABSOLUTE + (PORT dataa (123:123:123) (156:156:156)) + (PORT datab (106:106:106) (135:135:135)) + (PORT datac (107:107:107) (130:130:130)) + (PORT datad (280:280:280) (322:322:322)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (874:874:874)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (863:863:863) (866:866:866)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_h\~1) + (DELAY + (ABSOLUTE + (PORT dataa (122:122:122) (156:156:156)) + (PORT datab (121:121:121) (151:151:151)) + (PORT datac (93:93:93) (115:115:115)) + (PORT datad (280:280:280) (322:322:322)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[9\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (874:874:874)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (863:863:863) (866:866:866)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|LessThan4\~0) + (DELAY + (ABSOLUTE + (PORT datab (484:484:484) (574:574:574)) + (PORT datac (218:218:218) (274:274:274)) + (PORT datad (222:222:222) (273:273:273)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add4\~0) + (DELAY + (ABSOLUTE + (PORT dataa (231:231:231) (291:291:291)) + (PORT datab (254:254:254) (315:315:315)) + (PORT datac (236:236:236) (293:293:293)) + (PORT datad (277:277:277) (318:318:318)) + (IOPATH dataa combout (195:195:195) (193:193:193)) + (IOPATH datab combout (191:191:191) (181:181:181)) + (IOPATH datac combout (120:120:120) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~1) + (DELAY + (ABSOLUTE + (PORT dataa (226:226:226) (279:279:279)) + (PORT datab (326:326:326) (385:385:385)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab cout (227:227:227) (175:175:175)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~3) + (DELAY + (ABSOLUTE + (PORT dataa (226:226:226) (280:280:280)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~5) + (DELAY + (ABSOLUTE + (PORT dataa (211:211:211) (266:266:266)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~7) + (DELAY + (ABSOLUTE + (PORT dataa (240:240:240) (297:297:297)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~9) + (DELAY + (ABSOLUTE + (PORT datab (480:480:480) (570:570:570)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~10) + (DELAY + (ABSOLUTE + (PORT datab (241:241:241) (297:297:297)) + (IOPATH datab combout (167:167:167) (174:174:174)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~12) + (DELAY + (ABSOLUTE + (PORT datab (220:220:220) (273:273:273)) + (IOPATH datab combout (192:192:192) (177:177:177)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~14) + (DELAY + (ABSOLUTE + (PORT dataa (245:245:245) (305:305:305)) + (IOPATH dataa combout (166:166:166) (173:173:173)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~16) + (DELAY + (ABSOLUTE + (PORT dataa (243:243:243) (297:297:297)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~18) + (DELAY + (ABSOLUTE + (PORT datab (240:240:240) (292:292:292)) + (IOPATH datab combout (167:167:167) (174:174:174)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~12) + (DELAY + (ABSOLUTE + (PORT dataa (357:357:357) (426:426:426)) + (PORT datab (220:220:220) (264:264:264)) + (PORT datac (201:201:201) (246:246:246)) + (PORT datad (203:203:203) (236:236:236)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~5) + (DELAY + (ABSOLUTE + (PORT dataa (233:233:233) (293:293:293)) + (PORT datac (239:239:239) (296:296:296)) + (IOPATH dataa combout (195:195:195) (203:203:203)) + (IOPATH datac combout (120:120:120) (125:125:125)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Equal0\~3) + (DELAY + (ABSOLUTE + (PORT datab (365:365:365) (429:429:429)) + (PORT datac (339:339:339) (399:399:399)) + (PORT datad (441:441:441) (507:507:507)) + (IOPATH datab combout (167:167:167) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[7\]\~7) + (DELAY + (ABSOLUTE + (PORT dataa (175:175:175) (213:213:213)) + (PORT datab (161:161:161) (201:201:201)) + (PORT datad (465:465:465) (539:539:539)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (190:190:190) (195:195:195)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[7\]) + (DELAY + (ABSOLUTE + (PORT clk (868:868:868) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (861:861:861) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[5\]\~10) + (DELAY + (ABSOLUTE + (PORT dataa (106:106:106) (137:137:137)) + (PORT datab (556:556:556) (640:640:640)) + (PORT datad (212:212:212) (253:253:253)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (190:190:190) (195:195:195)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[8\]\~6) + (DELAY + (ABSOLUTE + (PORT dataa (279:279:279) (321:321:321)) + (PORT datab (161:161:161) (201:201:201)) + (PORT datad (466:466:466) (540:540:540)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (190:190:190) (195:195:195)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (868:868:868) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (861:861:861) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|always1\~0) + (DELAY + (ABSOLUTE + (PORT dataa (146:146:146) (198:198:198)) + (PORT datab (145:145:145) (195:195:195)) + (PORT datac (206:206:206) (255:255:255)) + (PORT datad (132:132:132) (171:171:171)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[1\]\~1) + (DELAY + (ABSOLUTE + (PORT dataa (281:281:281) (330:330:330)) + (PORT datab (158:158:158) (197:197:197)) + (PORT datad (471:471:471) (546:546:546)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (190:190:190) (195:195:195)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (868:868:868) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (861:861:861) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[4\]\~5) + (DELAY + (ABSOLUTE + (PORT dataa (189:189:189) (226:226:226)) + (PORT datab (155:155:155) (195:195:195)) + (PORT datad (474:474:474) (549:549:549)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (190:190:190) (195:195:195)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (868:868:868) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (861:861:861) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|always1\~1) + (DELAY + (ABSOLUTE + (PORT dataa (301:301:301) (358:358:358)) + (PORT datab (119:119:119) (150:150:150)) + (PORT datac (135:135:135) (179:179:179)) + (PORT datad (136:136:136) (175:175:175)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~0) + (DELAY + (ABSOLUTE + (PORT datab (140:140:140) (188:188:188)) + (IOPATH datab combout (192:192:192) (181:181:181)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[0\]\~2) + (DELAY + (ABSOLUTE + (PORT dataa (229:229:229) (273:273:273)) + (PORT datab (103:103:103) (132:132:132)) + (PORT datad (548:548:548) (623:623:623)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (190:190:190) (195:195:195)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[3\]\~3) + (DELAY + (ABSOLUTE + (PORT dataa (171:171:171) (210:210:210)) + (PORT datab (156:156:156) (195:195:195)) + (PORT datad (473:473:473) (548:548:548)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (190:190:190) (195:195:195)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (868:868:868) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (861:861:861) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|always1\~2) + (DELAY + (ABSOLUTE + (PORT dataa (154:154:154) (210:210:210)) + (PORT datab (159:159:159) (209:209:209)) + (PORT datac (213:213:213) (269:269:269)) + (PORT datad (137:137:137) (178:178:178)) + (IOPATH dataa combout (158:158:158) (163:163:163)) + (IOPATH datab combout (160:160:160) (167:167:167)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[11\]\~0) + (DELAY + (ABSOLUTE + (PORT datab (110:110:110) (140:140:140)) + (PORT datac (92:92:92) (114:114:114)) + (PORT datad (472:472:472) (547:547:547)) + (IOPATH datab combout (167:167:167) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[9\]\~9) + (DELAY + (ABSOLUTE + (PORT dataa (298:298:298) (343:343:343)) + (PORT datab (161:161:161) (201:201:201)) + (PORT datad (466:466:466) (541:541:541)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (190:190:190) (195:195:195)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[9\]) + (DELAY + (ABSOLUTE + (PORT clk (868:868:868) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (861:861:861) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[6\]\~8) + (DELAY + (ABSOLUTE + (PORT dataa (175:175:175) (212:212:212)) + (PORT datab (162:162:162) (202:202:202)) + (PORT datad (464:464:464) (539:539:539)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (190:190:190) (195:195:195)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[6\]) + (DELAY + (ABSOLUTE + (PORT clk (868:868:868) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (861:861:861) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~4) + (DELAY + (ABSOLUTE + (PORT datab (228:228:228) (284:284:284)) + (IOPATH datab combout (192:192:192) (177:177:177)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[2\]\~4) + (DELAY + (ABSOLUTE + (PORT dataa (194:194:194) (233:233:233)) + (PORT datab (173:173:173) (207:207:207)) + (PORT datad (469:469:469) (544:544:544)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (190:190:190) (195:195:195)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (868:868:868) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (861:861:861) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~22) + (DELAY + (ABSOLUTE + (PORT datad (129:129:129) (166:166:166)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[11\]\~11) + (DELAY + (ABSOLUTE + (PORT dataa (235:235:235) (282:282:282)) + (PORT datab (103:103:103) (131:131:131)) + (PORT datad (542:542:542) (616:616:616)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (190:190:190) (195:195:195)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[11\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~2) + (DELAY + (ABSOLUTE + (PORT dataa (338:338:338) (405:405:405)) + (PORT datab (351:351:351) (418:418:418)) + (PORT datac (226:226:226) (278:278:278)) + (PORT datad (213:213:213) (258:258:258)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~4) + (DELAY + (ABSOLUTE + (PORT dataa (293:293:293) (339:339:339)) + (PORT datac (328:328:328) (384:384:384)) + (PORT datad (96:96:96) (115:115:115)) + (IOPATH dataa combout (166:166:166) (163:163:163)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~6) + (DELAY + (ABSOLUTE + (PORT dataa (210:210:210) (251:251:251)) + (PORT datab (253:253:253) (313:313:313)) + (PORT datac (236:236:236) (293:293:293)) + (PORT datad (276:276:276) (317:317:317)) + (IOPATH dataa combout (158:158:158) (163:163:163)) + (IOPATH datab combout (169:169:169) (167:167:167)) + (IOPATH datac combout (120:120:120) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~7) + (DELAY + (ABSOLUTE + (PORT dataa (289:289:289) (342:342:342)) + (PORT datab (103:103:103) (132:132:132)) + (PORT datac (100:100:100) (121:121:121)) + (PORT datad (93:93:93) (111:111:111)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\[13\]\~11) + (DELAY + (ABSOLUTE + (PORT dataa (218:218:218) (262:262:262)) + (PORT datab (291:291:291) (342:342:342)) + (PORT datac (200:200:200) (245:245:245)) + (PORT datad (199:199:199) (235:235:235)) + (IOPATH dataa combout (158:158:158) (163:163:163)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|always0\~0) + (DELAY + (ABSOLUTE + (PORT dataa (218:218:218) (270:270:270)) + (PORT datab (219:219:219) (262:262:262)) + (PORT datac (337:337:337) (397:397:397)) + (PORT datad (94:94:94) (113:113:113)) + (IOPATH dataa combout (166:166:166) (157:157:157)) + (IOPATH datab combout (167:167:167) (156:156:156)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~13) + (DELAY + (ABSOLUTE + (PORT dataa (184:184:184) (226:226:226)) + (PORT datab (186:186:186) (227:227:227)) + (PORT datac (603:603:603) (689:689:689)) + (PORT datad (339:339:339) (400:400:400)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~17) + (DELAY + (ABSOLUTE + (PORT dataa (219:219:219) (264:264:264)) + (PORT datac (199:199:199) (244:244:244)) + (PORT datad (200:200:200) (236:236:236)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~34) + (DELAY + (ABSOLUTE + (PORT dataa (340:340:340) (400:400:400)) + (PORT datab (218:218:218) (262:262:262)) + (PORT datac (335:335:335) (395:395:395)) + (PORT datad (92:92:92) (110:110:110)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\[13\]\~8) + (DELAY + (ABSOLUTE + (PORT dataa (218:218:218) (263:263:263)) + (PORT datad (199:199:199) (235:235:235)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\[13\]\~9) + (DELAY + (ABSOLUTE + (PORT dataa (348:348:348) (417:417:417)) + (PORT datab (216:216:216) (259:259:259)) + (PORT datac (199:199:199) (244:244:244)) + (PORT datad (90:90:90) (107:107:107)) + (IOPATH dataa combout (165:165:165) (159:159:159)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\[13\]\~10) + (DELAY + (ABSOLUTE + (PORT dataa (351:351:351) (418:418:418)) + (PORT datac (522:522:522) (589:589:589)) + (PORT datad (324:324:324) (376:376:376)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~18) + (DELAY + (ABSOLUTE + (PORT dataa (347:347:347) (412:412:412)) + (PORT datab (108:108:108) (138:138:138)) + (PORT datac (320:320:320) (376:376:376)) + (PORT datad (101:101:101) (124:124:124)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (120:120:120) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_pic_inst\|pix_data\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~20) + (DELAY + (ABSOLUTE + (PORT datad (210:210:210) (252:252:252)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|LessThan17\~4) + (DELAY + (ABSOLUTE + (PORT dataa (364:364:364) (432:432:432)) + (PORT datab (354:354:354) (417:417:417)) + (PORT datac (458:458:458) (532:532:532)) + (PORT datad (362:362:362) (427:427:427)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|LessThan17\~3) + (DELAY + (ABSOLUTE + (PORT dataa (354:354:354) (411:411:411)) + (PORT datab (352:352:352) (418:418:418)) + (PORT datac (595:595:595) (684:684:684)) + (PORT datad (90:90:90) (108:108:108)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|LessThan14\~0) + (DELAY + (ABSOLUTE + (PORT dataa (363:363:363) (430:430:430)) + (PORT datac (335:335:335) (397:397:397)) + (PORT datad (358:358:358) (423:423:423)) + (IOPATH dataa combout (166:166:166) (163:163:163)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~19) + (DELAY + (ABSOLUTE + (PORT dataa (347:347:347) (412:412:412)) + (PORT datab (198:198:198) (234:234:234)) + (PORT datac (253:253:253) (286:286:286)) + (PORT datad (336:336:336) (397:397:397)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~20) + (DELAY + (ABSOLUTE + (PORT datab (112:112:112) (144:144:144)) + (PORT datac (321:321:321) (377:377:377)) + (PORT datad (95:95:95) (114:114:114)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (120:120:120) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_pic_inst\|pix_data\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add6\~0) + (DELAY + (ABSOLUTE + (PORT dataa (441:441:441) (506:506:506)) + (PORT datab (333:333:333) (391:391:391)) + (PORT datac (121:121:121) (164:164:164)) + (PORT datad (122:122:122) (161:161:161)) + (IOPATH dataa combout (159:159:159) (163:163:163)) + (IOPATH datab combout (161:161:161) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_n1\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[8\]\~0) + (DELAY + (ABSOLUTE + (PORT datad (490:490:490) (587:587:587)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (869:869:869) (874:874:874)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (862:862:862) (866:866:866)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (869:869:869) (874:874:874)) + (PORT asdata (642:642:642) (727:727:727)) + (PORT clrn (862:862:862) (866:866:866)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|LessThan6\~0) + (DELAY + (ABSOLUTE + (PORT dataa (235:235:235) (292:292:292)) + (PORT datab (149:149:149) (200:200:200)) + (PORT datac (135:135:135) (179:179:179)) + (PORT datad (141:141:141) (183:183:183)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~1) + (DELAY + (ABSOLUTE + (PORT dataa (103:103:103) (134:134:134)) + (PORT datab (122:122:122) (153:153:153)) + (PORT datac (92:92:92) (114:114:114)) + (PORT datad (131:131:131) (171:171:171)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|rgb\[1\]\~0) + (DELAY + (ABSOLUTE + (PORT dataa (482:482:482) (567:567:567)) + (PORT datab (574:574:574) (661:661:661)) + (PORT datac (123:123:123) (167:167:167)) + (PORT datad (311:311:311) (364:364:364)) + (IOPATH dataa combout (159:159:159) (163:163:163)) + (IOPATH datab combout (161:161:161) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_reg\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|rgb\[2\]\~1) + (DELAY + (ABSOLUTE + (PORT dataa (483:483:483) (568:568:568)) + (PORT datab (331:331:331) (389:389:389)) + (PORT datac (558:558:558) (640:640:640)) + (PORT datad (122:122:122) (160:160:160)) + (IOPATH dataa combout (159:159:159) (163:163:163)) + (IOPATH datab combout (161:161:161) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_reg\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add14\~0) + (DELAY + (ABSOLUTE + (PORT datab (517:517:517) (622:622:622)) + (PORT datac (462:462:462) (546:546:546)) + (PORT datad (489:489:489) (586:586:586)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[3\]\~0) + (DELAY + (ABSOLUTE + (PORT datad (91:91:91) (109:109:109)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (869:869:869) (874:874:874)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (862:862:862) (866:866:866)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~0) + (DELAY + (ABSOLUTE + (PORT dataa (217:217:217) (276:276:276)) + (PORT datab (353:353:353) (420:420:420)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (190:190:190) (181:181:181)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~2) + (DELAY + (ABSOLUTE + (PORT dataa (155:155:155) (205:205:205)) + (IOPATH dataa combout (165:165:165) (173:173:173)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~6) + (DELAY + (ABSOLUTE + (PORT datab (155:155:155) (203:203:203)) + (IOPATH datab combout (196:196:196) (205:205:205)) + (IOPATH cin combout (187:187:187) (204:204:204)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~0) + (DELAY + (ABSOLUTE + (PORT dataa (208:208:208) (265:265:265)) + (PORT datab (373:373:373) (451:451:451)) + (IOPATH dataa combout (172:172:172) (165:165:165)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (169:169:169) (167:167:167)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~2) + (DELAY + (ABSOLUTE + (PORT dataa (238:238:238) (290:290:290)) + (IOPATH dataa combout (166:166:166) (173:173:173)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~6) + (DELAY + (ABSOLUTE + (PORT datad (216:216:216) (258:258:258)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~2) + (DELAY + (ABSOLUTE + (PORT dataa (215:215:215) (260:260:260)) + (PORT datab (184:184:184) (220:220:220)) + (PORT datac (90:90:90) (112:112:112)) + (PORT datad (165:165:165) (195:195:195)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[0\]\~0) + (DELAY + (ABSOLUTE + (PORT dataa (334:334:334) (387:387:387)) + (PORT datab (368:368:368) (445:445:445)) + (PORT datad (317:317:317) (362:362:362)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (869:869:869) (874:874:874)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (862:862:862) (866:866:866)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~9) + (DELAY + (ABSOLUTE + (PORT dataa (203:203:203) (244:244:244)) + (PORT datab (106:106:106) (135:135:135)) + (PORT datac (314:314:314) (372:372:372)) + (PORT datad (317:317:317) (363:363:363)) + (IOPATH dataa combout (166:166:166) (173:173:173)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~10) + (DELAY + (ABSOLUTE + (PORT dataa (310:310:310) (377:377:377)) + (PORT datab (176:176:176) (213:213:213)) + (PORT datac (304:304:304) (350:350:350)) + (PORT datad (176:176:176) (208:208:208)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add12\~0) + (DELAY + (ABSOLUTE + (PORT datab (515:515:515) (621:621:621)) + (PORT datac (463:463:463) (547:547:547)) + (PORT datad (491:491:491) (589:589:589)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (869:869:869) (874:874:874)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (862:862:862) (866:866:866)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add14\~1) + (DELAY + (ABSOLUTE + (PORT datab (517:517:517) (622:622:622)) + (PORT datac (462:462:462) (546:546:546)) + (PORT datad (489:489:489) (587:587:587)) + (IOPATH datab combout (167:167:167) (174:174:174)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (869:869:869) (874:874:874)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (862:862:862) (866:866:866)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~13) + (DELAY + (ABSOLUTE + (PORT dataa (203:203:203) (243:243:243)) + (PORT datab (106:106:106) (136:136:136)) + (PORT datac (327:327:327) (397:397:397)) + (PORT datad (317:317:317) (363:363:363)) + (IOPATH dataa combout (166:166:166) (173:173:173)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~14) + (DELAY + (ABSOLUTE + (PORT dataa (319:319:319) (373:373:373)) + (PORT datab (105:105:105) (134:134:134)) + (PORT datac (302:302:302) (355:355:355)) + (PORT datad (167:167:167) (196:196:196)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (874:874:874)) + (PORT asdata (612:612:612) (691:691:691)) + (PORT clrn (863:863:863) (866:866:866)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~15) + (DELAY + (ABSOLUTE + (PORT dataa (216:216:216) (261:261:261)) + (PORT datab (186:186:186) (223:223:223)) + (PORT datac (171:171:171) (204:204:204)) + (PORT datad (336:336:336) (396:396:396)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal2\~0) + (DELAY + (ABSOLUTE + (PORT dataa (232:232:232) (299:299:299)) + (PORT datab (249:249:249) (308:308:308)) + (PORT datac (202:202:202) (255:255:255)) + (PORT datad (197:197:197) (247:247:247)) + (IOPATH dataa combout (188:188:188) (179:179:179)) + (IOPATH datab combout (166:166:166) (174:174:174)) + (IOPATH datac combout (120:120:120) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal2\~1) + (DELAY + (ABSOLUTE + (PORT datac (327:327:327) (386:386:386)) + (PORT datad (170:170:170) (201:201:201)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\]\~6) + (DELAY + (ABSOLUTE + (PORT dataa (189:189:189) (225:225:225)) + (PORT datab (172:172:172) (210:210:210)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab cout (227:227:227) (175:175:175)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[3\]\~13) + (DELAY + (ABSOLUTE + (PORT dataa (292:292:292) (338:338:338)) + (PORT datab (189:189:189) (228:228:228)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (191:191:191) (181:181:181)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add4\~1) + (DELAY + (ABSOLUTE + (PORT dataa (478:478:478) (561:561:561)) + (PORT datac (555:555:555) (637:637:637)) + (PORT datad (312:312:312) (364:364:364)) + (IOPATH dataa combout (166:166:166) (163:163:163)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg1) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg2\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (119:119:119) (156:156:156)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg2) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (863:863:863) (867:867:867)) + (PORT sclr (598:598:598) (581:581:581)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sclr (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_2) + (DELAY + (ABSOLUTE + (PORT dataa (107:107:107) (141:141:141)) + (PORT datab (338:338:338) (403:403:403)) + (PORT datac (239:239:239) (298:298:298)) + (PORT datad (173:173:173) (203:203:203)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (120:120:120) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~6) + (DELAY + (ABSOLUTE + (PORT dataa (105:105:105) (137:137:137)) + (PORT datab (372:372:372) (450:450:450)) + (PORT datac (306:306:306) (364:364:364)) + (PORT datad (317:317:317) (363:363:363)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[4\]\~15) + (DELAY + (ABSOLUTE + (PORT dataa (174:174:174) (212:212:212)) + (PORT datad (90:90:90) (108:108:108)) + (IOPATH dataa combout (195:195:195) (203:203:203)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (863:863:863) (867:867:867)) + (PORT sclr (598:598:598) (581:581:581)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sclr (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~0) + (DELAY + (ABSOLUTE + (PORT dataa (232:232:232) (299:299:299)) + (PORT datab (250:250:250) (309:309:309)) + (PORT datac (202:202:202) (255:255:255)) + (PORT datad (197:197:197) (247:247:247)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~2) + (DELAY + (ABSOLUTE + (PORT dataa (189:189:189) (226:226:226)) + (PORT datab (339:339:339) (405:405:405)) + (PORT datac (233:233:233) (289:289:289)) + (PORT datad (167:167:167) (197:197:197)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (188:188:188) (193:193:193)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~1) + (DELAY + (ABSOLUTE + (PORT dataa (302:302:302) (350:350:350)) + (PORT datab (142:142:142) (190:190:190)) + (PORT datad (295:295:295) (335:335:335)) + (IOPATH dataa combout (192:192:192) (184:184:184)) + (IOPATH datab combout (182:182:182) (193:193:193)) + (IOPATH datac combout (190:190:190) (195:195:195)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[1\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (273:273:273) (311:311:311)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|LessThan0\~0) + (DELAY + (ABSOLUTE + (PORT dataa (333:333:333) (401:401:401)) + (PORT datab (240:240:240) (293:293:293)) + (PORT datac (225:225:225) (279:279:279)) + (PORT datad (209:209:209) (252:252:252)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|LessThan0\~1) + (DELAY + (ABSOLUTE + (PORT dataa (250:250:250) (312:312:312)) + (PORT datab (242:242:242) (299:299:299)) + (PORT datac (470:470:470) (556:556:556)) + (PORT datad (90:90:90) (108:108:108)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg1) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (863:863:863) (867:867:867)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg2) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT asdata (294:294:294) (332:332:332)) + (PORT clrn (863:863:863) (867:867:867)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (PORT asdata (666:666:666) (766:766:766)) + (PORT clrn (863:863:863) (867:867:867)) + (PORT sload (815:815:815) (744:744:744)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sload (posedge clk) (84:84:84)) + (HOLD asdata (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[7\]\~1) + (DELAY + (ABSOLUTE + (PORT datab (516:516:516) (621:621:621)) + (PORT datad (490:490:490) (588:588:588)) + (IOPATH datab combout (192:192:192) (181:181:181)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (869:869:869) (874:874:874)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (862:862:862) (866:866:866)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~4) + (DELAY + (ABSOLUTE + (PORT dataa (335:335:335) (388:388:388)) + (PORT datab (370:370:370) (448:448:448)) + (PORT datac (302:302:302) (356:356:356)) + (PORT datad (317:317:317) (363:363:363)) + (IOPATH dataa combout (195:195:195) (203:203:203)) + (IOPATH datab combout (167:167:167) (174:174:174)) + (IOPATH datac combout (120:120:120) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[5\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (248:248:248) (279:279:279)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (PORT asdata (670:670:670) (770:770:770)) + (PORT clrn (863:863:863) (867:867:867)) + (PORT sload (815:815:815) (744:744:744)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sload (posedge clk) (84:84:84)) + (HOLD asdata (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|LessThan1\~0) + (DELAY + (ABSOLUTE + (PORT dataa (152:152:152) (208:208:208)) + (PORT datab (159:159:159) (209:209:209)) + (PORT datac (205:205:205) (253:253:253)) + (PORT datad (96:96:96) (116:116:116)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg1) + (DELAY + (ABSOLUTE + (PORT clk (868:868:868) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (861:861:861) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg2\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (539:539:539) (618:618:618)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg2) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~7) + (DELAY + (ABSOLUTE + (PORT dataa (303:303:303) (349:349:349)) + (PORT datab (507:507:507) (611:611:611)) + (PORT datac (416:416:416) (501:501:501)) + (PORT datad (349:349:349) (420:420:420)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (166:166:166) (176:176:176)) + (IOPATH datac combout (120:120:120) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[9\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (863:863:863) (867:867:867)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~4) + (DELAY + (ABSOLUTE + (PORT datab (130:130:130) (178:178:178)) + (PORT datac (435:435:435) (539:539:539)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~3) + (DELAY + (ABSOLUTE + (PORT datab (137:137:137) (189:189:189)) + (PORT datac (435:435:435) (540:540:540)) + (PORT datad (118:118:118) (156:156:156)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~2) + (DELAY + (ABSOLUTE + (PORT datab (138:138:138) (190:190:190)) + (PORT datac (435:435:435) (539:539:539)) + (PORT datad (118:118:118) (154:154:154)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~1) + (DELAY + (ABSOLUTE + (PORT dataa (131:131:131) (182:182:182)) + (PORT datac (435:435:435) (539:539:539)) + (PORT datad (117:117:117) (154:154:154)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~0) + (DELAY + (ABSOLUTE + (PORT datab (132:132:132) (181:181:181)) + (PORT datac (436:436:436) (540:540:540)) + (PORT datad (120:120:120) (157:157:157)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (PORT asdata (523:523:523) (596:596:596)) + (PORT clrn (863:863:863) (867:867:867)) + (PORT sload (693:693:693) (641:641:641)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sload (posedge clk) (84:84:84)) + (HOLD asdata (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~3) + (DELAY + (ABSOLUTE + (PORT dataa (301:301:301) (346:346:346)) + (PORT datab (510:510:510) (613:613:613)) + (PORT datac (412:412:412) (497:497:497)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (120:120:120) (125:125:125)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (863:863:863) (867:867:867)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~1) + (DELAY + (ABSOLUTE + (PORT dataa (132:132:132) (182:182:182)) + (PORT datab (131:131:131) (179:179:179)) + (PORT datac (435:435:435) (540:540:540)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (120:120:120) (125:125:125)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~0) + (DELAY + (ABSOLUTE + (PORT datab (187:187:187) (253:253:253)) + (PORT datac (356:356:356) (430:430:430)) + (PORT datad (347:347:347) (417:417:417)) + (IOPATH datab combout (188:188:188) (177:177:177)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (870:870:870) (875:875:875)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_latch") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi) + (DELAY + (ABSOLUTE + (PORT ENA (842:842:842) (837:837:837)) + (PORT D (514:514:514) (571:571:571)) + (IOPATH (negedge ENA) Q (103:103:103) (103:103:103)) + ) + ) + (TIMINGCHECK + (SETUP D (negedge ENA) (231:231:231)) + (HOLD D (negedge ENA) (58:58:58)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo) + (DELAY + (ABSOLUTE + (PORT clk (842:842:842) (837:837:837)) + (PORT d (380:380:380) (411:411:411)) + (IOPATH (posedge clk) q (103:103:103) (103:103:103)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (40:40:40)) + (HOLD d (posedge clk) (58:58:58)) + ) + ) + (CELL + (CELLTYPE "cycloneive_mux21") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux) + (DELAY + (ABSOLUTE + (PORT A (0:0:0) (0:0:0)) + (PORT B (0:0:0) (0:0:0)) + (PORT S (921:921:921) (940:940:940)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_routing_wire") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay) + (DELAY + (ABSOLUTE + (IOPATH datain dataout (243:243:243) (236:236:236)) + ) + ) + (DELAY + (PATHPULSE datain dataout (236:236:236)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|LessThan17\~2) + (DELAY + (ABSOLUTE + (PORT dataa (383:383:383) (452:452:452)) + (PORT datab (353:353:353) (416:416:416)) + (PORT datac (461:461:461) (534:534:534)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (124:124:124)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\[9\]\~15) + (DELAY + (ABSOLUTE + (PORT dataa (105:105:105) (137:137:137)) + (PORT datab (361:361:361) (419:419:419)) + (PORT datac (594:594:594) (683:683:683)) + (PORT datad (106:106:106) (125:125:125)) + (IOPATH dataa combout (165:165:165) (159:159:159)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~35) + (DELAY + (ABSOLUTE + (PORT dataa (111:111:111) (145:145:145)) + (PORT datab (219:219:219) (263:263:263)) + (PORT datac (338:338:338) (397:397:397)) + (PORT datad (95:95:95) (113:113:113)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~36) + (DELAY + (ABSOLUTE + (PORT dataa (116:116:116) (152:152:152)) + (PORT datab (354:354:354) (420:420:420)) + (PORT datac (458:458:458) (532:532:532)) + (PORT datad (98:98:98) (119:119:119)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~21) + (DELAY + (ABSOLUTE + (PORT dataa (183:183:183) (226:226:226)) + (PORT datab (185:185:185) (226:226:226)) + (PORT datac (602:602:602) (688:688:688)) + (PORT datad (338:338:338) (399:399:399)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (120:120:120) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~26) + (DELAY + (ABSOLUTE + (PORT dataa (338:338:338) (407:407:407)) + (PORT datab (324:324:324) (384:384:384)) + (PORT datac (93:93:93) (117:117:117)) + (PORT datad (168:168:168) (198:198:198)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (167:167:167) (156:156:156)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_x\[11\]\~0) + (DELAY + (ABSOLUTE + (PORT datac (459:459:459) (524:524:524)) + (PORT datad (336:336:336) (393:393:393)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~27) + (DELAY + (ABSOLUTE + (PORT dataa (114:114:114) (148:148:148)) + (PORT datab (114:114:114) (146:146:146)) + (PORT datac (90:90:90) (112:112:112)) + (PORT datad (329:329:329) (383:383:383)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_pic_inst\|pix_data\[10\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|rgb\[10\]\~2) + (DELAY + (ABSOLUTE + (PORT dataa (304:304:304) (356:356:356)) + (PORT datab (551:551:551) (628:628:628)) + (PORT datac (206:206:206) (264:264:264)) + (PORT datad (629:629:629) (700:700:700)) + (IOPATH dataa combout (159:159:159) (163:163:163)) + (IOPATH datab combout (161:161:161) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[7\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~29) + (DELAY + (ABSOLUTE + (PORT dataa (361:361:361) (429:429:429)) + (PORT datab (353:353:353) (416:416:416)) + (PORT datac (465:465:465) (539:539:539)) + (PORT datad (355:355:355) (419:419:419)) + (IOPATH dataa combout (186:186:186) (179:179:179)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~30) + (DELAY + (ABSOLUTE + (PORT dataa (476:476:476) (556:556:556)) + (PORT datab (353:353:353) (420:420:420)) + (PORT datac (594:594:594) (683:683:683)) + (PORT datad (342:342:342) (395:395:395)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~31) + (DELAY + (ABSOLUTE + (PORT datab (102:102:102) (131:131:131)) + (PORT datac (92:92:92) (114:114:114)) + (PORT datad (104:104:104) (122:122:122)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_pic_inst\|pix_data\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|rgb\[6\]\~4) + (DELAY + (ABSOLUTE + (PORT dataa (306:306:306) (358:358:358)) + (PORT datab (540:540:540) (614:614:614)) + (PORT datac (534:534:534) (605:605:605)) + (PORT datad (202:202:202) (255:255:255)) + (IOPATH dataa combout (159:159:159) (163:163:163)) + (IOPATH datab combout (161:161:161) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add5\~0) + (DELAY + (ABSOLUTE + (PORT dataa (211:211:211) (275:275:275)) + (PORT datab (276:276:276) (323:323:323)) + (PORT datac (208:208:208) (265:265:265)) + (PORT datad (206:206:206) (258:258:258)) + (IOPATH dataa combout (158:158:158) (163:163:163)) + (IOPATH datab combout (167:167:167) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_n1\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~1) + (DELAY + (ABSOLUTE + (PORT dataa (226:226:226) (290:290:290)) + (PORT datab (164:164:164) (220:220:220)) + (PORT datac (152:152:152) (210:210:210)) + (PORT datad (220:220:220) (269:269:269)) + (IOPATH dataa combout (195:195:195) (193:193:193)) + (IOPATH datab combout (196:196:196) (192:192:192)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~28) + (DELAY + (ABSOLUTE + (PORT dataa (334:334:334) (403:403:403)) + (PORT datab (323:323:323) (383:383:383)) + (PORT datac (97:97:97) (122:122:122)) + (PORT datad (165:165:165) (196:196:196)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (167:167:167) (156:156:156)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_pic_inst\|pix_data\[9\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|rgb\[7\]\~3) + (DELAY + (ABSOLUTE + (PORT dataa (306:306:306) (358:358:358)) + (PORT datab (540:540:540) (614:614:614)) + (PORT datac (535:535:535) (605:605:605)) + (PORT datad (194:194:194) (244:244:244)) + (IOPATH dataa combout (159:159:159) (163:163:163)) + (IOPATH datab combout (161:161:161) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add13\~0) + (DELAY + (ABSOLUTE + (PORT dataa (155:155:155) (211:211:211)) + (PORT datab (166:166:166) (228:228:228)) + (PORT datac (138:138:138) (183:183:183)) + (PORT datad (205:205:205) (249:249:249)) + (IOPATH dataa combout (181:181:181) (184:184:184)) + (IOPATH datab combout (182:182:182) (188:188:188)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add13\~1) + (DELAY + (ABSOLUTE + (PORT datab (164:164:164) (221:221:221)) + (PORT datac (153:153:153) (211:211:211)) + (PORT datad (219:219:219) (268:268:268)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~0) + (DELAY + (ABSOLUTE + (PORT dataa (622:622:622) (741:741:741)) + (PORT datab (357:357:357) (432:432:432)) + (PORT datac (310:310:310) (374:374:374)) + (PORT datad (320:320:320) (384:384:384)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (120:120:120) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~1) + (DELAY + (ABSOLUTE + (PORT dataa (624:624:624) (743:743:743)) + (PORT datab (357:357:357) (432:432:432)) + (PORT datac (311:311:311) (376:376:376)) + (PORT datad (318:318:318) (382:382:382)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~2) + (DELAY + (ABSOLUTE + (PORT dataa (223:223:223) (286:286:286)) + (PORT datab (165:165:165) (227:227:227)) + (PORT datac (146:146:146) (195:195:195)) + (PORT datad (223:223:223) (273:273:273)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (865:865:865) (870:870:870)) + (PORT asdata (815:815:815) (930:930:930)) + (PORT clrn (858:858:858) (862:862:862)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~0) + (DELAY + (ABSOLUTE + (PORT dataa (337:337:337) (412:412:412)) + (PORT datab (212:212:212) (270:270:270)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (190:190:190) (181:181:181)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~4) + (DELAY + (ABSOLUTE + (PORT dataa (613:613:613) (728:728:728)) + (PORT datab (345:345:345) (423:423:423)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (191:191:191) (181:181:181)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~0) + (DELAY + (ABSOLUTE + (PORT dataa (225:225:225) (288:288:288)) + (PORT datab (168:168:168) (231:231:231)) + (PORT datac (146:146:146) (197:197:197)) + (PORT datad (221:221:221) (271:271:271)) + (IOPATH dataa combout (186:186:186) (175:175:175)) + (IOPATH datab combout (192:192:192) (181:181:181)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~2) + (DELAY + (ABSOLUTE + (PORT dataa (426:426:426) (506:506:506)) + (PORT datab (732:732:732) (863:863:863)) + (IOPATH dataa combout (166:166:166) (173:173:173)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (190:190:190) (181:181:181)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~9) + (DELAY + (ABSOLUTE + (PORT dataa (345:345:345) (408:408:408)) + (PORT datab (102:102:102) (131:131:131)) + (PORT datac (163:163:163) (197:197:197)) + (PORT datad (431:431:431) (495:495:495)) + (IOPATH dataa combout (188:188:188) (179:179:179)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~10) + (DELAY + (ABSOLUTE + (PORT dataa (104:104:104) (135:135:135)) + (PORT datab (192:192:192) (231:231:231)) + (PORT datac (93:93:93) (115:115:115)) + (PORT datad (434:434:434) (498:498:498)) + (IOPATH dataa combout (165:165:165) (163:163:163)) + (IOPATH datab combout (167:167:167) (167:167:167)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[8\]\~0) + (DELAY + (ABSOLUTE + (PORT datac (138:138:138) (184:184:184)) + (IOPATH datac combout (120:120:120) (125:125:125)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~15) + (DELAY + (ABSOLUTE + (PORT dataa (103:103:103) (134:134:134)) + (PORT datab (104:104:104) (133:133:133)) + (PORT datac (314:314:314) (365:365:365)) + (PORT datad (342:342:342) (411:411:411)) + (IOPATH dataa combout (166:166:166) (163:163:163)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\]\~7) + (DELAY + (ABSOLUTE + (PORT dataa (303:303:303) (352:352:352)) + (PORT datab (603:603:603) (686:686:686)) + (IOPATH dataa combout (165:165:165) (173:173:173)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (166:166:166) (174:174:174)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (866:866:866) (871:871:871)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (859:859:859) (863:863:863)) + (PORT sclr (591:591:591) (572:572:572)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sclr (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal1\~0) + (DELAY + (ABSOLUTE + (PORT dataa (142:142:142) (193:193:193)) + (PORT datab (307:307:307) (372:372:372)) + (PORT datac (128:128:128) (169:169:169)) + (PORT datad (130:130:130) (167:167:167)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal1\~1) + (DELAY + (ABSOLUTE + (PORT datab (112:112:112) (143:143:143)) + (PORT datad (135:135:135) (174:174:174)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\]\~6) + (DELAY + (ABSOLUTE + (PORT dataa (105:105:105) (136:136:136)) + (PORT datab (105:105:105) (134:134:134)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab cout (227:227:227) (175:175:175)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[1\]\~9) + (DELAY + (ABSOLUTE + (PORT dataa (301:301:301) (348:348:348)) + (PORT datab (170:170:170) (207:207:207)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (191:191:191) (181:181:181)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[2\]\~11) + (DELAY + (ABSOLUTE + (PORT dataa (187:187:187) (223:223:223)) + (PORT datab (278:278:278) (320:320:320)) + (IOPATH dataa combout (165:165:165) (173:173:173)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (166:166:166) (174:174:174)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (866:866:866) (871:871:871)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (859:859:859) (863:863:863)) + (PORT sclr (591:591:591) (572:572:572)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sclr (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~3) + (DELAY + (ABSOLUTE + (PORT dataa (347:347:347) (410:410:410)) + (PORT datab (103:103:103) (132:132:132)) + (PORT datac (89:89:89) (111:111:111)) + (PORT datad (431:431:431) (496:496:496)) + (IOPATH dataa combout (166:166:166) (159:159:159)) + (IOPATH datab combout (167:167:167) (158:158:158)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~4) + (DELAY + (ABSOLUTE + (PORT dataa (104:104:104) (135:135:135)) + (PORT datab (103:103:103) (132:132:132)) + (PORT datac (257:257:257) (290:290:290)) + (PORT datad (317:317:317) (368:368:368)) + (IOPATH dataa combout (165:165:165) (163:163:163)) + (IOPATH datab combout (167:167:167) (167:167:167)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[3\]\~13) + (DELAY + (ABSOLUTE + (PORT dataa (104:104:104) (135:135:135)) + (PORT datab (294:294:294) (340:340:340)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (191:191:191) (181:181:181)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (866:866:866) (871:871:871)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (859:859:859) (863:863:863)) + (PORT sclr (591:591:591) (572:572:572)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sclr (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal2\~0) + (DELAY + (ABSOLUTE + (PORT dataa (621:621:621) (740:740:740)) + (PORT datab (357:357:357) (433:433:433)) + (PORT datac (309:309:309) (374:374:374)) + (PORT datad (320:320:320) (385:385:385)) + (IOPATH dataa combout (165:165:165) (173:173:173)) + (IOPATH datab combout (188:188:188) (177:177:177)) + (IOPATH datac combout (120:120:120) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_2) + (DELAY + (ABSOLUTE + (PORT dataa (336:336:336) (411:411:411)) + (PORT datab (146:146:146) (196:196:196)) + (PORT datac (283:283:283) (328:328:328)) + (PORT datad (98:98:98) (119:119:119)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[0\]\~0) + (DELAY + (ABSOLUTE + (PORT dataa (355:355:355) (409:409:409)) + (PORT datab (311:311:311) (360:360:360)) + (PORT datad (312:312:312) (372:372:372)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (192:192:192) (181:181:181)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~11) + (DELAY + (ABSOLUTE + (PORT dataa (106:106:106) (138:138:138)) + (PORT datab (103:103:103) (132:132:132)) + (PORT datac (394:394:394) (447:447:447)) + (PORT datad (195:195:195) (229:229:229)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~12) + (DELAY + (ABSOLUTE + (PORT dataa (317:317:317) (370:370:370)) + (PORT datab (357:357:357) (433:433:433)) + (PORT datac (313:313:313) (378:378:378)) + (PORT datad (89:89:89) (106:106:106)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (866:866:866) (871:871:871)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (859:859:859) (863:863:863)) + (PORT sclr (591:591:591) (572:572:572)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sclr (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~6) + (DELAY + (ABSOLUTE + (PORT datad (224:224:224) (279:279:279)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~2) + (DELAY + (ABSOLUTE + (PORT dataa (272:272:272) (315:315:315)) + (PORT datab (288:288:288) (330:330:330)) + (PORT datac (284:284:284) (332:332:332)) + (PORT datad (299:299:299) (339:339:339)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[4\]\~15) + (DELAY + (ABSOLUTE + (PORT dataa (298:298:298) (349:349:349)) + (PORT datad (164:164:164) (194:194:194)) + (IOPATH dataa combout (195:195:195) (203:203:203)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (866:866:866) (871:871:871)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (859:859:859) (863:863:863)) + (PORT sclr (591:591:591) (572:572:572)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sclr (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~2) + (DELAY + (ABSOLUTE + (PORT dataa (340:340:340) (415:415:415)) + (PORT datab (103:103:103) (132:132:132)) + (PORT datac (91:91:91) (113:113:113)) + (PORT datad (224:224:224) (279:279:279)) + (IOPATH dataa combout (166:166:166) (159:159:159)) + (IOPATH datab combout (167:167:167) (158:158:158)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT asdata (316:316:316) (360:360:360)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~1) + (DELAY + (ABSOLUTE + (PORT dataa (154:154:154) (209:209:209)) + (PORT datab (306:306:306) (355:355:355)) + (PORT datad (294:294:294) (333:333:333)) + (IOPATH dataa combout (166:166:166) (173:173:173)) + (IOPATH datab combout (196:196:196) (205:205:205)) + (IOPATH datac combout (190:190:190) (195:195:195)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[3\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (285:285:285) (327:327:327)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT asdata (666:666:666) (768:768:768)) + (PORT clrn (860:860:860) (864:864:864)) + (PORT sload (600:600:600) (548:548:548)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sload (posedge clk) (84:84:84)) + (HOLD asdata (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~3) + (DELAY + (ABSOLUTE + (PORT dataa (297:297:297) (358:358:358)) + (PORT datab (309:309:309) (359:359:359)) + (PORT datac (357:357:357) (401:401:401)) + (PORT datad (312:312:312) (371:371:371)) + (IOPATH dataa combout (195:195:195) (193:193:193)) + (IOPATH datab combout (196:196:196) (192:192:192)) + (IOPATH datac combout (120:120:120) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[5\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (91:91:91) (108:108:108)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT asdata (669:669:669) (772:772:772)) + (PORT clrn (860:860:860) (864:864:864)) + (PORT sload (600:600:600) (548:548:548)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sload (posedge clk) (84:84:84)) + (HOLD asdata (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~2) + (DELAY + (ABSOLUTE + (PORT dataa (132:132:132) (183:183:183)) + (PORT datab (131:131:131) (179:179:179)) + (PORT datac (409:409:409) (506:506:506)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (120:120:120) (125:125:125)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~1) + (DELAY + (ABSOLUTE + (PORT datab (133:133:133) (184:184:184)) + (PORT datac (406:406:406) (504:504:504)) + (PORT datad (119:119:119) (157:157:157)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~0) + (DELAY + (ABSOLUTE + (PORT datab (138:138:138) (189:189:189)) + (PORT datac (409:409:409) (507:507:507)) + (PORT datad (120:120:120) (157:157:157)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~7) + (DELAY + (ABSOLUTE + (PORT dataa (388:388:388) (473:473:473)) + (PORT datac (570:570:570) (665:665:665)) + (PORT datad (487:487:487) (574:574:574)) + (IOPATH dataa combout (166:166:166) (173:173:173)) + (IOPATH datac combout (120:120:120) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (872:872:872) (876:876:876)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (865:865:865) (868:868:868)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~4) + (DELAY + (ABSOLUTE + (PORT datab (130:130:130) (178:178:178)) + (PORT datad (420:420:420) (513:513:513)) + (IOPATH datab combout (167:167:167) (167:167:167)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (872:872:872) (876:876:876)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~3) + (DELAY + (ABSOLUTE + (PORT dataa (138:138:138) (191:191:191)) + (PORT datab (436:436:436) (538:538:538)) + (PORT datac (117:117:117) (158:158:158)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (190:190:190) (188:188:188)) + (IOPATH datac combout (119:119:119) (124:124:124)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (872:872:872) (876:876:876)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~2) + (DELAY + (ABSOLUTE + (PORT dataa (132:132:132) (184:184:184)) + (PORT datab (436:436:436) (539:539:539)) + (PORT datac (117:117:117) (157:157:157)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (190:190:190) (188:188:188)) + (IOPATH datac combout (119:119:119) (124:124:124)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (872:872:872) (876:876:876)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~1) + (DELAY + (ABSOLUTE + (PORT dataa (137:137:137) (190:190:190)) + (PORT datab (436:436:436) (539:539:539)) + (PORT datad (118:118:118) (155:155:155)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (169:169:169) (167:167:167)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (872:872:872) (876:876:876)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~0) + (DELAY + (ABSOLUTE + (PORT dataa (379:379:379) (462:462:462)) + (PORT datab (437:437:437) (540:540:540)) + (PORT datac (117:117:117) (158:158:158)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (190:190:190) (188:188:188)) + (IOPATH datac combout (119:119:119) (124:124:124)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (872:872:872) (876:876:876)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_latch") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi) + (DELAY + (ABSOLUTE + (PORT ENA (844:844:844) (840:840:840)) + (PORT D (352:352:352) (394:394:394)) + (IOPATH (negedge ENA) Q (103:103:103) (103:103:103)) + ) + ) + (TIMINGCHECK + (SETUP D (negedge ENA) (231:231:231)) + (HOLD D (negedge ENA) (58:58:58)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo) + (DELAY + (ABSOLUTE + (PORT clk (844:844:844) (840:840:840)) + (PORT d (528:528:528) (582:582:582)) + (IOPATH (posedge clk) q (103:103:103) (103:103:103)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (40:40:40)) + (HOLD d (posedge clk) (58:58:58)) + ) + ) + (CELL + (CELLTYPE "cycloneive_mux21") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux) + (DELAY + (ABSOLUTE + (PORT A (0:0:0) (0:0:0)) + (PORT B (0:0:0) (0:0:0)) + (PORT S (923:923:923) (943:943:943)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_routing_wire") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay) + (DELAY + (ABSOLUTE + (IOPATH datain dataout (243:243:243) (236:236:236)) + ) + ) + (DELAY + (PATHPULSE datain dataout (236:236:236)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~33) + (DELAY + (ABSOLUTE + (PORT dataa (330:330:330) (396:396:396)) + (PORT datab (113:113:113) (146:146:146)) + (PORT datad (94:94:94) (113:113:113)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_pic_inst\|pix_data\[13\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|rgb\[13\]\~6) + (DELAY + (ABSOLUTE + (PORT dataa (480:480:480) (564:564:564)) + (PORT datab (573:573:573) (659:659:659)) + (PORT datac (122:122:122) (166:166:166)) + (PORT datad (312:312:312) (364:364:364)) + (IOPATH dataa combout (159:159:159) (163:163:163)) + (IOPATH datab combout (161:161:161) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_reg\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~32) + (DELAY + (ABSOLUTE + (PORT dataa (330:330:330) (395:395:395)) + (PORT datab (107:107:107) (138:138:138)) + (PORT datac (329:329:329) (386:386:386)) + (PORT datad (334:334:334) (386:386:386)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_pic_inst\|pix_data\[15\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add6\~0) + (DELAY + (ABSOLUTE + (PORT dataa (440:440:440) (506:506:506)) + (PORT datab (331:331:331) (389:389:389)) + (PORT datac (123:123:123) (166:166:166)) + (PORT datad (201:201:201) (247:247:247)) + (IOPATH dataa combout (159:159:159) (163:163:163)) + (IOPATH datab combout (161:161:161) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_n1\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|rgb\[12\]\~5) + (DELAY + (ABSOLUTE + (PORT dataa (480:480:480) (564:564:564)) + (PORT datab (572:572:572) (659:659:659)) + (PORT datac (130:130:130) (172:172:172)) + (PORT datad (312:312:312) (364:364:364)) + (IOPATH dataa combout (159:159:159) (163:163:163)) + (IOPATH datab combout (161:161:161) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_reg\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add12\~0) + (DELAY + (ABSOLUTE + (PORT datab (395:395:395) (482:482:482)) + (PORT datac (418:418:418) (517:517:517)) + (PORT datad (388:388:388) (474:474:474)) + (IOPATH datab combout (191:191:191) (181:181:181)) + (IOPATH datac combout (120:120:120) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (875:875:875) (880:880:880)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (868:868:868) (872:872:872)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add14\~0) + (DELAY + (ABSOLUTE + (PORT datab (396:396:396) (483:483:483)) + (PORT datac (420:420:420) (519:519:519)) + (PORT datad (389:389:389) (475:475:475)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (875:875:875) (880:880:880)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (868:868:868) (872:872:872)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add12\~1) + (DELAY + (ABSOLUTE + (PORT datac (410:410:410) (508:508:508)) + (PORT datad (385:385:385) (470:470:470)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (875:875:875) (880:880:880)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (868:868:868) (872:872:872)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~0) + (DELAY + (ABSOLUTE + (PORT dataa (346:346:346) (428:428:428)) + (PORT datab (343:343:343) (414:414:414)) + (PORT datac (334:334:334) (411:411:411)) + (PORT datad (316:316:316) (373:373:373)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[8\]\~0) + (DELAY + (ABSOLUTE + (PORT datac (418:418:418) (516:516:516)) + (IOPATH datac combout (120:120:120) (125:125:125)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (875:875:875) (880:880:880)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (868:868:868) (872:872:872)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal2\~0) + (DELAY + (ABSOLUTE + (PORT dataa (347:347:347) (429:429:429)) + (PORT datab (349:349:349) (421:421:421)) + (PORT datac (343:343:343) (421:421:421)) + (PORT datad (313:313:313) (369:369:369)) + (IOPATH dataa combout (188:188:188) (196:196:196)) + (IOPATH datab combout (190:190:190) (197:197:197)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~0) + (DELAY + (ABSOLUTE + (PORT dataa (344:344:344) (411:411:411)) + (PORT datab (326:326:326) (391:391:391)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (190:190:190) (181:181:181)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~5) + (DELAY + (ABSOLUTE + (PORT dataa (103:103:103) (134:134:134)) + (PORT datab (105:105:105) (134:134:134)) + (PORT datac (310:310:310) (367:367:367)) + (PORT datad (286:286:286) (325:325:325)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (166:166:166) (167:167:167)) + (IOPATH datac combout (120:120:120) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~6) + (DELAY + (ABSOLUTE + (PORT dataa (327:327:327) (403:403:403)) + (PORT datab (331:331:331) (397:397:397)) + (PORT datac (175:175:175) (211:211:211)) + (PORT datad (313:313:313) (362:362:362)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal1\~0) + (DELAY + (ABSOLUTE + (PORT dataa (158:158:158) (209:209:209)) + (PORT datab (151:151:151) (204:204:204)) + (PORT datac (132:132:132) (176:176:176)) + (PORT datad (134:134:134) (173:173:173)) + (IOPATH dataa combout (158:158:158) (157:157:157)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal1\~1) + (DELAY + (ABSOLUTE + (PORT datac (322:322:322) (381:381:381)) + (PORT datad (268:268:268) (304:304:304)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\]\~6) + (DELAY + (ABSOLUTE + (PORT dataa (390:390:390) (454:454:454)) + (PORT datab (377:377:377) (429:429:429)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab cout (227:227:227) (175:175:175)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\]\~7) + (DELAY + (ABSOLUTE + (PORT dataa (107:107:107) (139:139:139)) + (PORT datab (104:104:104) (133:133:133)) + (IOPATH dataa combout (165:165:165) (173:173:173)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (166:166:166) (174:174:174)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (875:875:875) (879:879:879)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (868:868:868) (871:871:871)) + (PORT sclr (774:774:774) (735:735:735)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sclr (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~0) + (DELAY + (ABSOLUTE + (PORT dataa (323:323:323) (387:387:387)) + (PORT datab (154:154:154) (207:207:207)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (190:190:190) (181:181:181)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~15) + (DELAY + (ABSOLUTE + (PORT dataa (304:304:304) (353:353:353)) + (PORT datab (103:103:103) (131:131:131)) + (PORT datac (317:317:317) (376:376:376)) + (PORT datad (311:311:311) (361:361:361)) + (IOPATH dataa combout (166:166:166) (163:163:163)) + (IOPATH datab combout (166:166:166) (167:167:167)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[1\]\~9) + (DELAY + (ABSOLUTE + (PORT dataa (174:174:174) (213:213:213)) + (PORT datab (189:189:189) (228:228:228)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (191:191:191) (181:181:181)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[2\]\~11) + (DELAY + (ABSOLUTE + (PORT dataa (274:274:274) (321:321:321)) + (PORT datab (103:103:103) (132:132:132)) + (IOPATH dataa combout (165:165:165) (173:173:173)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (166:166:166) (174:174:174)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[3\]\~13) + (DELAY + (ABSOLUTE + (PORT dataa (176:176:176) (214:214:214)) + (PORT datab (102:102:102) (130:130:130)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (191:191:191) (181:181:181)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (875:875:875) (879:879:879)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (868:868:868) (871:871:871)) + (PORT sclr (774:774:774) (735:735:735)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sclr (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[3\]\~0) + (DELAY + (ABSOLUTE + (PORT datad (90:90:90) (107:107:107)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (875:875:875) (880:880:880)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (868:868:868) (872:872:872)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_2) + (DELAY + (ABSOLUTE + (PORT dataa (293:293:293) (335:335:335)) + (PORT datab (303:303:303) (350:350:350)) + (PORT datac (320:320:320) (378:378:378)) + (PORT datad (210:210:210) (264:264:264)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (120:120:120) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[0\]\~0) + (DELAY + (ABSOLUTE + (PORT dataa (128:128:128) (163:163:163)) + (PORT datab (233:233:233) (293:293:293)) + (PORT datad (112:112:112) (133:133:133)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~14) + (DELAY + (ABSOLUTE + (PORT dataa (103:103:103) (135:135:135)) + (PORT datab (310:310:310) (368:368:368)) + (PORT datac (304:304:304) (363:363:363)) + (PORT datad (160:160:160) (187:187:187)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH datab combout (167:167:167) (158:158:158)) + (IOPATH datac combout (120:120:120) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (875:875:875) (879:879:879)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (868:868:868) (871:871:871)) + (PORT sclr (774:774:774) (735:735:735)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sclr (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~2) + (DELAY + (ABSOLUTE + (PORT dataa (327:327:327) (394:394:394)) + (PORT datab (355:355:355) (434:434:434)) + (IOPATH dataa combout (166:166:166) (173:173:173)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (190:190:190) (181:181:181)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~2) + (DELAY + (ABSOLUTE + (PORT dataa (325:325:325) (392:392:392)) + (PORT datab (360:360:360) (440:440:440)) + (IOPATH dataa combout (186:186:186) (180:180:180)) + (IOPATH dataa cout (226:226:226) (171:171:171)) + (IOPATH datab combout (167:167:167) (176:176:176)) + (IOPATH datab cout (227:227:227) (175:175:175)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + (IOPATH cin cout (34:34:34) (34:34:34)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~7) + (DELAY + (ABSOLUTE + (PORT dataa (333:333:333) (387:387:387)) + (PORT datab (103:103:103) (131:131:131)) + (PORT datac (91:91:91) (113:113:113)) + (PORT datad (305:305:305) (360:360:360)) + (IOPATH dataa combout (165:165:165) (173:173:173)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~8) + (DELAY + (ABSOLUTE + (PORT dataa (302:302:302) (352:352:352)) + (PORT datab (103:103:103) (132:132:132)) + (PORT datac (257:257:257) (293:293:293)) + (PORT datad (312:312:312) (361:361:361)) + (IOPATH dataa combout (165:165:165) (163:163:163)) + (IOPATH datab combout (167:167:167) (167:167:167)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (875:875:875) (879:879:879)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (868:868:868) (871:871:871)) + (PORT sclr (774:774:774) (735:735:735)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sclr (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (875:875:875) (880:880:880)) + (PORT asdata (643:643:643) (728:728:728)) + (PORT clrn (868:868:868) (872:872:872)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~8) + (DELAY + (ABSOLUTE + (PORT datad (324:324:324) (378:378:378)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~6) + (DELAY + (ABSOLUTE + (IOPATH cin combout (187:187:187) (204:204:204)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~0) + (DELAY + (ABSOLUTE + (PORT dataa (339:339:339) (398:398:398)) + (PORT datab (175:175:175) (215:215:215)) + (PORT datac (270:270:270) (312:312:312)) + (PORT datad (297:297:297) (347:347:347)) + (IOPATH dataa combout (188:188:188) (184:184:184)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~6) + (DELAY + (ABSOLUTE + (IOPATH cin combout (187:187:187) (204:204:204)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~1) + (DELAY + (ABSOLUTE + (PORT dataa (295:295:295) (340:340:340)) + (PORT datab (102:102:102) (130:130:130)) + (PORT datac (264:264:264) (299:299:299)) + (PORT datad (297:297:297) (347:347:347)) + (IOPATH dataa combout (159:159:159) (163:163:163)) + (IOPATH datab combout (169:169:169) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[4\]\~15) + (DELAY + (ABSOLUTE + (PORT dataa (176:176:176) (218:218:218)) + (PORT datad (162:162:162) (192:192:192)) + (IOPATH dataa combout (195:195:195) (203:203:203)) + (IOPATH datad combout (68:68:68) (63:63:63)) + (IOPATH cin combout (187:187:187) (204:204:204)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (875:875:875) (879:879:879)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (868:868:868) (871:871:871)) + (PORT sclr (774:774:774) (735:735:735)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sclr (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~2) + (DELAY + (ABSOLUTE + (PORT dataa (288:288:288) (335:335:335)) + (PORT datab (287:287:287) (336:336:336)) + (PORT datac (323:323:323) (374:374:374)) + (PORT datad (210:210:210) (263:263:263)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (875:875:875) (880:880:880)) + (PORT asdata (588:588:588) (684:684:684)) + (PORT clrn (868:868:868) (872:872:872)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~1) + (DELAY + (ABSOLUTE + (PORT dataa (304:304:304) (356:356:356)) + (PORT datab (319:319:319) (367:367:367)) + (PORT datad (141:141:141) (185:185:185)) + (IOPATH dataa combout (192:192:192) (184:184:184)) + (IOPATH datab combout (191:191:191) (188:188:188)) + (IOPATH datac combout (190:190:190) (195:195:195)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[1\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (320:320:320) (374:374:374)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (872:872:872) (876:876:876)) + (PORT d (37:37:37) (50:50:50)) + (PORT asdata (749:749:749) (842:842:842)) + (PORT clrn (865:865:865) (868:868:868)) + (PORT sload (767:767:767) (696:696:696)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sload (posedge clk) (84:84:84)) + (HOLD asdata (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[7\]\~1) + (DELAY + (ABSOLUTE + (PORT datac (408:408:408) (506:506:506)) + (PORT datad (384:384:384) (469:469:469)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (875:875:875) (880:880:880)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (868:868:868) (872:872:872)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~4) + (DELAY + (ABSOLUTE + (PORT dataa (157:157:157) (214:214:214)) + (PORT datab (129:129:129) (178:178:178)) + (PORT datac (288:288:288) (330:330:330)) + (PORT datad (300:300:300) (342:342:342)) + (IOPATH dataa combout (181:181:181) (184:184:184)) + (IOPATH datab combout (192:192:192) (188:188:188)) + (IOPATH datac combout (120:120:120) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[5\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (272:272:272) (310:310:310)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (872:872:872) (876:876:876)) + (PORT d (37:37:37) (50:50:50)) + (PORT asdata (748:748:748) (841:841:841)) + (PORT clrn (865:865:865) (868:868:868)) + (PORT sload (767:767:767) (696:696:696)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sload (posedge clk) (84:84:84)) + (HOLD asdata (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~7) + (DELAY + (ABSOLUTE + (PORT dataa (240:240:240) (307:307:307)) + (PORT datab (149:149:149) (200:200:200)) + (PORT datac (491:491:491) (596:596:596)) + (PORT datad (338:338:338) (394:394:394)) + (IOPATH dataa combout (166:166:166) (173:173:173)) + (IOPATH datab combout (188:188:188) (177:177:177)) + (IOPATH datac combout (120:120:120) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[9\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (PORT clrn (860:860:860) (864:864:864)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~4) + (DELAY + (ABSOLUTE + (PORT datab (131:131:131) (179:179:179)) + (PORT datac (408:408:408) (505:505:505)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (867:867:867) (872:872:872)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~3) + (DELAY + (ABSOLUTE + (PORT datab (136:136:136) (187:187:187)) + (PORT datac (359:359:359) (438:438:438)) + (PORT datad (421:421:421) (515:515:515)) + (IOPATH datab combout (167:167:167) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (872:872:872) (876:876:876)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~2) + (DELAY + (ABSOLUTE + (PORT datab (137:137:137) (187:187:187)) + (PORT datac (117:117:117) (157:157:157)) + (PORT datad (422:422:422) (515:515:515)) + (IOPATH datab combout (167:167:167) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (872:872:872) (876:876:876)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~1) + (DELAY + (ABSOLUTE + (PORT dataa (132:132:132) (184:184:184)) + (PORT datab (436:436:436) (538:538:538)) + (PORT datac (119:119:119) (160:160:160)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (190:190:190) (188:188:188)) + (IOPATH datac combout (119:119:119) (124:124:124)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (872:872:872) (876:876:876)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~0) + (DELAY + (ABSOLUTE + (PORT datab (133:133:133) (182:182:182)) + (PORT datac (379:379:379) (458:458:458)) + (PORT datad (118:118:118) (154:154:154)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (872:872:872) (876:876:876)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (875:875:875) (880:880:880)) + (PORT d (37:37:37) (50:50:50)) + (PORT asdata (776:776:776) (875:875:875)) + (PORT clrn (868:868:868) (872:872:872)) + (PORT sload (781:781:781) (707:707:707)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sload (posedge clk) (84:84:84)) + (HOLD asdata (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\]\~6) + (DELAY + (ABSOLUTE + (PORT dataa (127:127:127) (163:163:163)) + (PORT datab (235:235:235) (296:296:296)) + (PORT datad (111:111:111) (132:132:132)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg2\~_wirecell) + (DELAY + (ABSOLUTE + (IOPATH datac combout (190:190:190) (195:195:195)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[6\]) + (DELAY + (ABSOLUTE + (PORT clk (875:875:875) (880:880:880)) + (PORT d (37:37:37) (50:50:50)) + (PORT asdata (640:640:640) (713:713:713)) + (PORT clrn (868:868:868) (872:872:872)) + (PORT sload (781:781:781) (707:707:707)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + (IOPATH (negedge clrn) q (110:110:110) (110:110:110)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + (HOLD sload (posedge clk) (84:84:84)) + (HOLD asdata (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~3) + (DELAY + (ABSOLUTE + (PORT dataa (131:131:131) (182:182:182)) + (PORT datab (132:132:132) (181:181:181)) + (PORT datad (403:403:403) (491:491:491)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (167:167:167) (167:167:167)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (875:875:875) (880:880:880)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~2) + (DELAY + (ABSOLUTE + (PORT dataa (133:133:133) (184:184:184)) + (PORT datab (415:415:415) (513:513:513)) + (PORT datad (119:119:119) (157:157:157)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (169:169:169) (167:167:167)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (875:875:875) (880:880:880)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~1) + (DELAY + (ABSOLUTE + (PORT dataa (135:135:135) (187:187:187)) + (PORT datab (418:418:418) (515:515:515)) + (PORT datad (120:120:120) (158:158:158)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (169:169:169) (167:167:167)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (875:875:875) (880:880:880)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~0) + (DELAY + (ABSOLUTE + (PORT datab (130:130:130) (179:179:179)) + (PORT datac (392:392:392) (478:478:478)) + (PORT datad (119:119:119) (156:156:156)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (125:125:125)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (875:875:875) (880:880:880)) + (PORT d (37:37:37) (50:50:50)) + (IOPATH (posedge clk) q (105:105:105) (105:105:105)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (84:84:84)) + ) + ) + (CELL + (CELLTYPE "cycloneive_latch") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi) + (DELAY + (ABSOLUTE + (PORT ENA (847:847:847) (842:842:842)) + (PORT D (353:353:353) (396:396:396)) + (IOPATH (negedge ENA) Q (103:103:103) (103:103:103)) + ) + ) + (TIMINGCHECK + (SETUP D (negedge ENA) (231:231:231)) + (HOLD D (negedge ENA) (58:58:58)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo) + (DELAY + (ABSOLUTE + (PORT clk (847:847:847) (842:842:842)) + (PORT d (538:538:538) (592:592:592)) + (IOPATH (posedge clk) q (103:103:103) (103:103:103)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (40:40:40)) + (HOLD d (posedge clk) (58:58:58)) + ) + ) + (CELL + (CELLTYPE "cycloneive_mux21") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux) + (DELAY + (ABSOLUTE + (PORT A (0:0:0) (0:0:0)) + (PORT B (0:0:0) (0:0:0)) + (PORT S (926:926:926) (945:945:945)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_routing_wire") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay) + (DELAY + (ABSOLUTE + (IOPATH datain dataout (243:243:243) (236:236:236)) + ) + ) + (DELAY + (PATHPULSE datain dataout (236:236:236)) + ) + ) + (CELL + (CELLTYPE "cycloneive_latch") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi) + (DELAY + (ABSOLUTE + (PORT ENA (842:842:842) (837:837:837)) + (PORT D (566:566:566) (519:519:519)) + (IOPATH (negedge ENA) Q (103:103:103) (103:103:103)) + ) + ) + (TIMINGCHECK + (SETUP D (negedge ENA) (231:231:231)) + (HOLD D (negedge ENA) (58:58:58)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo) + (DELAY + (ABSOLUTE + (PORT clk (842:842:842) (837:837:837)) + (PORT d (416:416:416) (375:375:375)) + (IOPATH (posedge clk) q (103:103:103) (103:103:103)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (40:40:40)) + (HOLD d (posedge clk) (58:58:58)) + ) + ) + (CELL + (CELLTYPE "cycloneive_mux21") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux) + (DELAY + (ABSOLUTE + (PORT A (0:0:0) (0:0:0)) + (PORT B (0:0:0) (0:0:0)) + (PORT S (921:921:921) (940:940:940)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_routing_wire") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay) + (DELAY + (ABSOLUTE + (IOPATH datain dataout (243:243:243) (236:236:236)) + ) + ) + (DELAY + (PATHPULSE datain dataout (236:236:236)) + ) + ) + (CELL + (CELLTYPE "cycloneive_latch") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi) + (DELAY + (ABSOLUTE + (PORT ENA (844:844:844) (840:840:840)) + (PORT D (389:389:389) (357:357:357)) + (IOPATH (negedge ENA) Q (103:103:103) (103:103:103)) + ) + ) + (TIMINGCHECK + (SETUP D (negedge ENA) (231:231:231)) + (HOLD D (negedge ENA) (58:58:58)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo) + (DELAY + (ABSOLUTE + (PORT clk (844:844:844) (840:840:840)) + (PORT d (587:587:587) (523:523:523)) + (IOPATH (posedge clk) q (103:103:103) (103:103:103)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (40:40:40)) + (HOLD d (posedge clk) (58:58:58)) + ) + ) + (CELL + (CELLTYPE "cycloneive_mux21") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux) + (DELAY + (ABSOLUTE + (PORT A (0:0:0) (0:0:0)) + (PORT B (0:0:0) (0:0:0)) + (PORT S (923:923:923) (943:943:943)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_routing_wire") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay) + (DELAY + (ABSOLUTE + (IOPATH datain dataout (243:243:243) (236:236:236)) + ) + ) + (DELAY + (PATHPULSE datain dataout (236:236:236)) + ) + ) + (CELL + (CELLTYPE "cycloneive_latch") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi) + (DELAY + (ABSOLUTE + (PORT ENA (847:847:847) (842:842:842)) + (PORT D (391:391:391) (358:358:358)) + (IOPATH (negedge ENA) Q (103:103:103) (103:103:103)) + ) + ) + (TIMINGCHECK + (SETUP D (negedge ENA) (231:231:231)) + (HOLD D (negedge ENA) (58:58:58)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo) + (DELAY + (ABSOLUTE + (PORT clk (847:847:847) (842:842:842)) + (PORT d (597:597:597) (533:533:533)) + (IOPATH (posedge clk) q (103:103:103) (103:103:103)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (40:40:40)) + (HOLD d (posedge clk) (58:58:58)) + ) + ) + (CELL + (CELLTYPE "cycloneive_mux21") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux) + (DELAY + (ABSOLUTE + (PORT A (0:0:0) (0:0:0)) + (PORT B (0:0:0) (0:0:0)) + (PORT S (926:926:926) (945:945:945)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_routing_wire") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay) + (DELAY + (ABSOLUTE + (IOPATH datain dataout (243:243:243) (236:236:236)) + ) + ) + (DELAY + (PATHPULSE datain dataout (236:236:236)) + ) + ) +) diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_modelsim.xrf b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_modelsim.xrf new file mode 100644 index 0000000..becb4c6 --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_modelsim.xrf @@ -0,0 +1,623 @@ +vendor_name = ModelSim +source_file = 1, E:/simiao/lc/A415/07_hdmi/hdmi/sim/tb_hdmi_colorbar.v +source_file = 1, E:/simiao/lc/A415/07_hdmi/hdmi/rtl/hdmi/encode.v +source_file = 1, E:/simiao/lc/A415/07_hdmi/hdmi/rtl/hdmi/par_to_ser.v +source_file = 1, E:/simiao/lc/A415/07_hdmi/hdmi/rtl/hdmi/hdmi_ctrl.v +source_file = 1, E:/simiao/lc/A415/07_hdmi/hdmi/rtl/vga_pic.v +source_file = 1, E:/simiao/lc/A415/07_hdmi/hdmi/rtl/vga_ctrl.v +source_file = 1, E:/simiao/lc/A415/07_hdmi/hdmi/rtl/hdmi_colorbar.v +source_file = 1, E:/simiao/lc/A415/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.qip +source_file = 1, E:/simiao/lc/A415/07_hdmi/hdmi/quartus_prj/ip_core/ddio_out/ddio_out.v +source_file = 1, E:/simiao/lc/A415/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.qip +source_file = 1, E:/simiao/lc/A415/07_hdmi/hdmi/quartus_prj/ip_core/clk_gen/clk_gen.v +source_file = 1, output_files/Chain1.cdf +source_file = 1, E:/simiao/lc/A415/07_hdmi/hdmi/quartus_prj/db/hdmi_colorbar.cbx.xml +source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/altpll.tdf +source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/aglobal130.inc +source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/stratix_pll.inc +source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/stratixii_pll.inc +source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/cycloneii_pll.inc +source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/cbx.lst +source_file = 1, E:/simiao/lc/A415/07_hdmi/hdmi/quartus_prj/db/clk_gen_altpll.v +source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/altddio_out.tdf +source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/stratix_ddio.inc +source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/cyclone_ddio.inc +source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_mux.inc +source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/stratix_lcell.inc +source_file = 1, E:/simiao/lc/A415/07_hdmi/hdmi/quartus_prj/db/ddio_out_p9j.tdf +design_name = hdmi_colorbar +instance = comp, \clk_gen_inst|altpll_component|auto_generated|pll1 , clk_gen_inst|altpll_component|auto_generated|pll1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[0] , hdmi_ctrl_inst|encode_inst1|data_out[0], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|cnt[0] , hdmi_ctrl_inst|encode_inst0|cnt[0], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|cnt[1] , hdmi_ctrl_inst|encode_inst0|cnt[1], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|cnt[2] , hdmi_ctrl_inst|encode_inst0|cnt[2], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[3] , hdmi_ctrl_inst|encode_inst0|data_out[3], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[2] , hdmi_ctrl_inst|encode_inst1|data_out[2], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[3] , hdmi_ctrl_inst|encode_inst2|data_out[3], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add20~0 , hdmi_ctrl_inst|encode_inst0|Add20~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add20~2 , hdmi_ctrl_inst|encode_inst0|Add20~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add20~4 , hdmi_ctrl_inst|encode_inst0|Add20~4, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add20~6 , hdmi_ctrl_inst|encode_inst0|Add20~6, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add17~0 , hdmi_ctrl_inst|encode_inst0|Add17~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add17~2 , hdmi_ctrl_inst|encode_inst0|Add17~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add17~4 , hdmi_ctrl_inst|encode_inst0|Add17~4, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add17~6 , hdmi_ctrl_inst|encode_inst0|Add17~6, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add17~8 , hdmi_ctrl_inst|encode_inst0|Add17~8, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add23~0 , hdmi_ctrl_inst|encode_inst0|Add23~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add23~2 , hdmi_ctrl_inst|encode_inst0|Add23~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add23~4 , hdmi_ctrl_inst|encode_inst0|Add23~4, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add23~6 , hdmi_ctrl_inst|encode_inst0|Add23~6, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add15~0 , hdmi_ctrl_inst|encode_inst0|Add15~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add15~2 , hdmi_ctrl_inst|encode_inst0|Add15~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add15~4 , hdmi_ctrl_inst|encode_inst0|Add15~4, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add15~6 , hdmi_ctrl_inst|encode_inst0|Add15~6, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add15~8 , hdmi_ctrl_inst|encode_inst0|Add15~8, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add19~4 , hdmi_ctrl_inst|encode_inst0|Add19~4, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add22~4 , hdmi_ctrl_inst|encode_inst0|Add22~4, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|cnt[0]~7 , hdmi_ctrl_inst|encode_inst0|cnt[0]~7, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|cnt[1]~9 , hdmi_ctrl_inst|encode_inst0|cnt[1]~9, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|cnt[2]~11 , hdmi_ctrl_inst|encode_inst0|cnt[2]~11, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[4] , hdmi_ctrl_inst|encode_inst0|data_out[4], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add20~0 , hdmi_ctrl_inst|encode_inst1|Add20~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add20~2 , hdmi_ctrl_inst|encode_inst1|Add20~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add20~4 , hdmi_ctrl_inst|encode_inst1|Add20~4, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add20~6 , hdmi_ctrl_inst|encode_inst1|Add20~6, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add17~0 , hdmi_ctrl_inst|encode_inst1|Add17~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add17~2 , hdmi_ctrl_inst|encode_inst1|Add17~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add17~4 , hdmi_ctrl_inst|encode_inst1|Add17~4, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add17~6 , hdmi_ctrl_inst|encode_inst1|Add17~6, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add17~8 , hdmi_ctrl_inst|encode_inst1|Add17~8, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add23~0 , hdmi_ctrl_inst|encode_inst1|Add23~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add23~4 , hdmi_ctrl_inst|encode_inst1|Add23~4, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add23~6 , hdmi_ctrl_inst|encode_inst1|Add23~6, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add15~2 , hdmi_ctrl_inst|encode_inst1|Add15~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add15~6 , hdmi_ctrl_inst|encode_inst1|Add15~6, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add15~8 , hdmi_ctrl_inst|encode_inst1|Add15~8, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add19~0 , hdmi_ctrl_inst|encode_inst1|Add19~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add19~2 , hdmi_ctrl_inst|encode_inst1|Add19~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add19~4 , hdmi_ctrl_inst|encode_inst1|Add19~4, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add19~6 , hdmi_ctrl_inst|encode_inst1|Add19~6, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add22~0 , hdmi_ctrl_inst|encode_inst1|Add22~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add22~2 , hdmi_ctrl_inst|encode_inst1|Add22~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add22~4 , hdmi_ctrl_inst|encode_inst1|Add22~4, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[4] , hdmi_ctrl_inst|encode_inst1|data_out[4], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add20~0 , hdmi_ctrl_inst|encode_inst2|Add20~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add20~4 , hdmi_ctrl_inst|encode_inst2|Add20~4, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add17~0 , hdmi_ctrl_inst|encode_inst2|Add17~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add17~2 , hdmi_ctrl_inst|encode_inst2|Add17~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add17~4 , hdmi_ctrl_inst|encode_inst2|Add17~4, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add17~6 , hdmi_ctrl_inst|encode_inst2|Add17~6, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add23~0 , hdmi_ctrl_inst|encode_inst2|Add23~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add23~4 , hdmi_ctrl_inst|encode_inst2|Add23~4, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add15~2 , hdmi_ctrl_inst|encode_inst2|Add15~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add15~4 , hdmi_ctrl_inst|encode_inst2|Add15~4, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add15~6 , hdmi_ctrl_inst|encode_inst2|Add15~6, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add15~8 , hdmi_ctrl_inst|encode_inst2|Add15~8, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add19~2 , hdmi_ctrl_inst|encode_inst2|Add19~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add19~4 , hdmi_ctrl_inst|encode_inst2|Add19~4, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add19~6 , hdmi_ctrl_inst|encode_inst2|Add19~6, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add22~0 , hdmi_ctrl_inst|encode_inst2|Add22~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add22~2 , hdmi_ctrl_inst|encode_inst2|Add22~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add22~4 , hdmi_ctrl_inst|encode_inst2|Add22~4, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add22~6 , hdmi_ctrl_inst|encode_inst2|Add22~6, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[4] , hdmi_ctrl_inst|encode_inst2|data_out[4], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[6] , hdmi_ctrl_inst|encode_inst0|data_out[6], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[7] , hdmi_ctrl_inst|encode_inst1|data_out[7], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add0~2 , vga_ctrl_inst|Add0~2, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add0~10 , vga_ctrl_inst|Add0~10, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add1~2 , vga_ctrl_inst|Add1~2, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add1~6 , vga_ctrl_inst|Add1~6, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add1~8 , vga_ctrl_inst|Add1~8, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add1~10 , vga_ctrl_inst|Add1~10, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add1~12 , vga_ctrl_inst|Add1~12, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add1~14 , vga_ctrl_inst|Add1~14, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add1~16 , vga_ctrl_inst|Add1~16, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add1~18 , vga_ctrl_inst|Add1~18, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add1~20 , vga_ctrl_inst|Add1~20, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1] , hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[1], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2] , hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[2], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1 , hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_n1[2] , hdmi_ctrl_inst|encode_inst0|q_m_n1[2], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|condition_3~1 , hdmi_ctrl_inst|encode_inst0|condition_3~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Equal1~0 , hdmi_ctrl_inst|encode_inst0|Equal1~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2] , hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[2], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_n0[1] , hdmi_ctrl_inst|encode_inst2|q_m_n0[1], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|condition_3~1 , hdmi_ctrl_inst|encode_inst2|condition_3~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[2] , hdmi_ctrl_inst|encode_inst2|data_out[2], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2 , hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~0 , hdmi_ctrl_inst|encode_inst0|Add16~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~1 , hdmi_ctrl_inst|encode_inst0|Add16~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~3 , hdmi_ctrl_inst|encode_inst0|Add16~3, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~4 , hdmi_ctrl_inst|encode_inst0|Add16~4, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~5 , hdmi_ctrl_inst|encode_inst0|Add16~5, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~7 , hdmi_ctrl_inst|encode_inst0|Add16~7, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~8 , hdmi_ctrl_inst|encode_inst0|Add16~8, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~11 , hdmi_ctrl_inst|encode_inst0|Add16~11, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~12 , hdmi_ctrl_inst|encode_inst0|Add16~12, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~16 , hdmi_ctrl_inst|encode_inst0|Add16~16, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Equal1~1 , hdmi_ctrl_inst|encode_inst0|Equal1~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add12~1 , hdmi_ctrl_inst|encode_inst0|Add12~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_reg[3] , hdmi_ctrl_inst|encode_inst0|q_m_reg[3], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out~2 , hdmi_ctrl_inst|encode_inst0|data_out~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3] , hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[3], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2 , hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~0 , hdmi_ctrl_inst|encode_inst1|Add16~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~1 , hdmi_ctrl_inst|encode_inst1|Add16~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~5 , hdmi_ctrl_inst|encode_inst1|Add16~5, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~6 , hdmi_ctrl_inst|encode_inst1|Add16~6, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~7 , hdmi_ctrl_inst|encode_inst1|Add16~7, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~8 , hdmi_ctrl_inst|encode_inst1|Add16~8, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~13 , hdmi_ctrl_inst|encode_inst1|Add16~13, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~14 , hdmi_ctrl_inst|encode_inst1|Add16~14, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~16 , hdmi_ctrl_inst|encode_inst1|Add16~16, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Equal2~1 , hdmi_ctrl_inst|encode_inst1|Equal2~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3] , hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[3], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_reg[2] , hdmi_ctrl_inst|encode_inst1|q_m_reg[2], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out~2 , hdmi_ctrl_inst|encode_inst1|data_out~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~2 , hdmi_ctrl_inst|encode_inst2|Add16~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~3 , hdmi_ctrl_inst|encode_inst2|Add16~3, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~4 , hdmi_ctrl_inst|encode_inst2|Add16~4, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~9 , hdmi_ctrl_inst|encode_inst2|Add16~9, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~10 , hdmi_ctrl_inst|encode_inst2|Add16~10, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~11 , hdmi_ctrl_inst|encode_inst2|Add16~11, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~12 , hdmi_ctrl_inst|encode_inst2|Add16~12, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~13 , hdmi_ctrl_inst|encode_inst2|Add16~13, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~16 , hdmi_ctrl_inst|encode_inst2|Add16~16, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Equal2~1 , hdmi_ctrl_inst|encode_inst2|Equal2~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add14~1 , hdmi_ctrl_inst|encode_inst2|Add14~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_reg[3] , hdmi_ctrl_inst|encode_inst2|q_m_reg[3], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out~2 , hdmi_ctrl_inst|encode_inst2|data_out~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out~3 , hdmi_ctrl_inst|encode_inst2|data_out~3, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|pix_data_req~0 , vga_ctrl_inst|pix_data_req~0, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_v[10] , vga_ctrl_inst|cnt_v[10], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|pix_data_req~3 , vga_ctrl_inst|pix_data_req~3, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m[3]~0 , hdmi_ctrl_inst|encode_inst0|q_m[3]~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_reg[4] , hdmi_ctrl_inst|encode_inst0|q_m_reg[4], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out~5 , hdmi_ctrl_inst|encode_inst0|data_out~5, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4] , hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[4], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3 , hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~3, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_reg[5] , hdmi_ctrl_inst|encode_inst1|q_m_reg[5], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4] , hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[4], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3 , hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~3, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_reg[4] , hdmi_ctrl_inst|encode_inst1|q_m_reg[4], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out~4 , hdmi_ctrl_inst|encode_inst1|data_out~4, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m[3]~0 , hdmi_ctrl_inst|encode_inst2|q_m[3]~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_reg[4] , hdmi_ctrl_inst|encode_inst2|q_m_reg[4], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out~5 , hdmi_ctrl_inst|encode_inst2|data_out~5, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4] , hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[4], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_h[1] , vga_ctrl_inst|cnt_h[1], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|pix_x[10]~1 , vga_ctrl_inst|pix_x[10]~1, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|always0~1 , vga_pic_inst|always0~1, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|always0~2 , vga_pic_inst|always0~2, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data[9]~14 , vga_pic_inst|pix_data[9]~14, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data~16 , vga_pic_inst|pix_data~16, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|pix_data_req~8 , vga_ctrl_inst|pix_data_req~8, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_v[10]~12 , vga_ctrl_inst|cnt_v[10]~12, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m[4]~2 , hdmi_ctrl_inst|encode_inst0|q_m[4]~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[6]~6 , hdmi_ctrl_inst|encode_inst0|data_out[6]~6, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[8] , hdmi_ctrl_inst|encode_inst0|data_out[8], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4 , hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~4, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|LessThan10~0 , vga_pic_inst|LessThan10~0, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data~22 , vga_pic_inst|pix_data~22, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data~23 , vga_pic_inst|pix_data~23, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|LessThan14~1 , vga_pic_inst|LessThan14~1, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data[13]~24 , vga_pic_inst|pix_data[13]~24, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data~25 , vga_pic_inst|pix_data~25, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m[5]~0 , hdmi_ctrl_inst|encode_inst1|q_m[5]~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_reg[7] , hdmi_ctrl_inst|encode_inst1|q_m_reg[7], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out~5 , hdmi_ctrl_inst|encode_inst1|data_out~5, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[9] , hdmi_ctrl_inst|encode_inst1|data_out[9], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4 , hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~4, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m[4]~2 , hdmi_ctrl_inst|encode_inst2|q_m[4]~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[8] , hdmi_ctrl_inst|encode_inst2|data_out[8], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4 , hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~4, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out~8 , hdmi_ctrl_inst|encode_inst0|data_out~8, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m[7]~1 , hdmi_ctrl_inst|encode_inst1|q_m[7]~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out~6 , hdmi_ctrl_inst|encode_inst1|data_out~6, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out~8 , hdmi_ctrl_inst|encode_inst2|data_out~8, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data~37 , vga_pic_inst|pix_data~37, hdmi_colorbar, 1 +instance = comp, \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl , clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[0]~clkctrl, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder , hdmi_ctrl_inst|encode_inst0|data_out[3]~feeder, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder , hdmi_ctrl_inst|encode_inst1|data_out[2]~feeder, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder , hdmi_ctrl_inst|encode_inst2|data_out[3]~feeder, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder , hdmi_ctrl_inst|encode_inst0|data_out[4]~feeder, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder , hdmi_ctrl_inst|encode_inst1|data_out[4]~feeder, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder , hdmi_ctrl_inst|encode_inst2|data_out[4]~feeder, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder , hdmi_ctrl_inst|encode_inst1|data_out[7]~feeder, hdmi_colorbar, 1 +instance = comp, \ddc_scl~output , ddc_scl~output, hdmi_colorbar, 1 +instance = comp, \ddc_sda~output , ddc_sda~output, hdmi_colorbar, 1 +instance = comp, \tmds_clk_p~output , tmds_clk_p~output, hdmi_colorbar, 1 +instance = comp, \tmds_clk_n~output , tmds_clk_n~output, hdmi_colorbar, 1 +instance = comp, \tmds_data_p[0]~output , tmds_data_p[0]~output, hdmi_colorbar, 1 +instance = comp, \tmds_data_p[1]~output , tmds_data_p[1]~output, hdmi_colorbar, 1 +instance = comp, \tmds_data_p[2]~output , tmds_data_p[2]~output, hdmi_colorbar, 1 +instance = comp, \tmds_data_n[0]~output , tmds_data_n[0]~output, hdmi_colorbar, 1 +instance = comp, \tmds_data_n[1]~output , tmds_data_n[1]~output, hdmi_colorbar, 1 +instance = comp, \tmds_data_n[2]~output , tmds_data_n[2]~output, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|cnt~0 , hdmi_ctrl_inst|par_to_ser_inst0|cnt~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|cnt[0] , hdmi_ctrl_inst|par_to_ser_inst0|cnt[0], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|Add0~1 , hdmi_ctrl_inst|par_to_ser_inst0|Add0~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|cnt[1] , hdmi_ctrl_inst|par_to_ser_inst0|cnt[1], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|Add0~0 , hdmi_ctrl_inst|par_to_ser_inst0|Add0~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|cnt[2] , hdmi_ctrl_inst|par_to_ser_inst0|cnt[2], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0 , hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0] , hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[0], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder , hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4]~feeder, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4] , hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[4], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3 , hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s~3, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3] , hdmi_ctrl_inst|par_to_ser_inst3|data_fall_s[3], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2 , hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2] , hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[2], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1 , hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1] , hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[1], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0 , hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0] , hdmi_ctrl_inst|par_to_ser_inst3|data_rise_s[0], hdmi_colorbar, 1 +instance = comp, \sys_clk~input , sys_clk~input, hdmi_colorbar, 1 +instance = comp, \clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl , clk_gen_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] , hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] , hdmi_ctrl_inst|par_to_ser_inst3|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add0~0 , vga_ctrl_inst|Add0~0, hdmi_colorbar, 1 +instance = comp, \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder , clk_gen_inst|altpll_component|auto_generated|pll_lock_sync~feeder, hdmi_colorbar, 1 +instance = comp, \sys_rst_n~input , sys_rst_n~input, hdmi_colorbar, 1 +instance = comp, \clk_gen_inst|altpll_component|auto_generated|pll_lock_sync , clk_gen_inst|altpll_component|auto_generated|pll_lock_sync, hdmi_colorbar, 1 +instance = comp, \rst_n~0 , rst_n~0, hdmi_colorbar, 1 +instance = comp, \rst_n~0clkctrl , rst_n~0clkctrl, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_h[0] , vga_ctrl_inst|cnt_h[0], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add0~4 , vga_ctrl_inst|Add0~4, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add0~6 , vga_ctrl_inst|Add0~6, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_h[3] , vga_ctrl_inst|cnt_h[3], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add0~8 , vga_ctrl_inst|Add0~8, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_h[4] , vga_ctrl_inst|cnt_h[4], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add0~12 , vga_ctrl_inst|Add0~12, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_h[6] , vga_ctrl_inst|cnt_h[6], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add0~14 , vga_ctrl_inst|Add0~14, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_h[7] , vga_ctrl_inst|cnt_h[7], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_h[2] , vga_ctrl_inst|cnt_h[2], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Equal0~0 , vga_ctrl_inst|Equal0~0, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add0~16 , vga_ctrl_inst|Add0~16, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add0~18 , vga_ctrl_inst|Add0~18, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add0~20 , vga_ctrl_inst|Add0~20, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_h[10] , vga_ctrl_inst|cnt_h[10], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add0~22 , vga_ctrl_inst|Add0~22, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_h[11] , vga_ctrl_inst|cnt_h[11], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Equal0~1 , vga_ctrl_inst|Equal0~1, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_h~0 , vga_ctrl_inst|cnt_h~0, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_h[5] , vga_ctrl_inst|cnt_h[5], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Equal0~2 , vga_ctrl_inst|Equal0~2, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_h~2 , vga_ctrl_inst|cnt_h~2, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_h[8] , vga_ctrl_inst|cnt_h[8], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_h~1 , vga_ctrl_inst|cnt_h~1, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_h[9] , vga_ctrl_inst|cnt_h[9], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|LessThan4~0 , vga_ctrl_inst|LessThan4~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add4~0 , hdmi_ctrl_inst|encode_inst0|Add4~0, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add2~1 , vga_ctrl_inst|Add2~1, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add2~3 , vga_ctrl_inst|Add2~3, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add2~5 , vga_ctrl_inst|Add2~5, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add2~7 , vga_ctrl_inst|Add2~7, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add2~9 , vga_ctrl_inst|Add2~9, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add2~10 , vga_ctrl_inst|Add2~10, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add2~12 , vga_ctrl_inst|Add2~12, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add2~14 , vga_ctrl_inst|Add2~14, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add2~16 , vga_ctrl_inst|Add2~16, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add2~18 , vga_ctrl_inst|Add2~18, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data~12 , vga_pic_inst|pix_data~12, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|pix_data_req~5 , vga_ctrl_inst|pix_data_req~5, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Equal0~3 , vga_ctrl_inst|Equal0~3, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_v[7]~7 , vga_ctrl_inst|cnt_v[7]~7, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_v[7] , vga_ctrl_inst|cnt_v[7], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_v[5]~10 , vga_ctrl_inst|cnt_v[5]~10, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_v[5] , vga_ctrl_inst|cnt_v[5], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_v[8]~6 , vga_ctrl_inst|cnt_v[8]~6, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_v[8] , vga_ctrl_inst|cnt_v[8], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|always1~0 , vga_ctrl_inst|always1~0, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_v[1]~1 , vga_ctrl_inst|cnt_v[1]~1, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_v[1] , vga_ctrl_inst|cnt_v[1], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_v[4]~5 , vga_ctrl_inst|cnt_v[4]~5, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_v[4] , vga_ctrl_inst|cnt_v[4], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|always1~1 , vga_ctrl_inst|always1~1, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add1~0 , vga_ctrl_inst|Add1~0, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_v[0]~2 , vga_ctrl_inst|cnt_v[0]~2, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_v[0] , vga_ctrl_inst|cnt_v[0], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_v[3]~3 , vga_ctrl_inst|cnt_v[3]~3, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_v[3] , vga_ctrl_inst|cnt_v[3], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|always1~2 , vga_ctrl_inst|always1~2, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_v[11]~0 , vga_ctrl_inst|cnt_v[11]~0, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_v[9]~9 , vga_ctrl_inst|cnt_v[9]~9, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_v[9] , vga_ctrl_inst|cnt_v[9], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_v[6]~8 , vga_ctrl_inst|cnt_v[6]~8, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_v[6] , vga_ctrl_inst|cnt_v[6], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add1~4 , vga_ctrl_inst|Add1~4, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_v[2]~4 , vga_ctrl_inst|cnt_v[2]~4, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_v[2] , vga_ctrl_inst|cnt_v[2], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add1~22 , vga_ctrl_inst|Add1~22, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_v[11]~11 , vga_ctrl_inst|cnt_v[11]~11, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|cnt_v[11] , vga_ctrl_inst|cnt_v[11], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|pix_data_req~2 , vga_ctrl_inst|pix_data_req~2, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|pix_data_req~4 , vga_ctrl_inst|pix_data_req~4, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|pix_data_req~6 , vga_ctrl_inst|pix_data_req~6, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|pix_data_req~7 , vga_ctrl_inst|pix_data_req~7, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data[13]~11 , vga_pic_inst|pix_data[13]~11, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|always0~0 , vga_pic_inst|always0~0, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data~13 , vga_pic_inst|pix_data~13, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data~17 , vga_pic_inst|pix_data~17, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data~34 , vga_pic_inst|pix_data~34, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data[13]~8 , vga_pic_inst|pix_data[13]~8, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data[13]~9 , vga_pic_inst|pix_data[13]~9, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data[13]~10 , vga_pic_inst|pix_data[13]~10, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data~18 , vga_pic_inst|pix_data~18, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data[4] , vga_pic_inst|pix_data[4], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|Add2~20 , vga_ctrl_inst|Add2~20, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|LessThan17~4 , vga_pic_inst|LessThan17~4, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|LessThan17~3 , vga_pic_inst|LessThan17~3, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|LessThan14~0 , vga_pic_inst|LessThan14~0, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data~19 , vga_pic_inst|pix_data~19, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data~20 , vga_pic_inst|pix_data~20, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data[0] , vga_pic_inst|pix_data[0], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add6~0 , hdmi_ctrl_inst|encode_inst0|Add6~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|data_in_n1[2] , hdmi_ctrl_inst|encode_inst0|data_in_n1[2], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0 , hdmi_ctrl_inst|encode_inst0|q_m_reg[8]~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_reg[8] , hdmi_ctrl_inst|encode_inst0|q_m_reg[8], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_reg[1] , hdmi_ctrl_inst|encode_inst0|q_m_reg[1], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|LessThan6~0 , vga_ctrl_inst|LessThan6~0, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|pix_data_req~1 , vga_ctrl_inst|pix_data_req~1, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|rgb[1]~0 , vga_ctrl_inst|rgb[1]~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|data_in_reg[4] , hdmi_ctrl_inst|encode_inst0|data_in_reg[4], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|rgb[2]~1 , vga_ctrl_inst|rgb[2]~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|data_in_reg[3] , hdmi_ctrl_inst|encode_inst0|data_in_reg[3], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add14~0 , hdmi_ctrl_inst|encode_inst0|Add14~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0 , hdmi_ctrl_inst|encode_inst0|q_m_n0[3]~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_n0[3] , hdmi_ctrl_inst|encode_inst0|q_m_n0[3], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add19~0 , hdmi_ctrl_inst|encode_inst0|Add19~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add19~2 , hdmi_ctrl_inst|encode_inst0|Add19~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add19~6 , hdmi_ctrl_inst|encode_inst0|Add19~6, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add22~0 , hdmi_ctrl_inst|encode_inst0|Add22~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add22~2 , hdmi_ctrl_inst|encode_inst0|Add22~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add22~6 , hdmi_ctrl_inst|encode_inst0|Add22~6, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~2 , hdmi_ctrl_inst|encode_inst0|Add16~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[0]~0 , hdmi_ctrl_inst|encode_inst0|data_out[0]~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_n0[2] , hdmi_ctrl_inst|encode_inst0|q_m_n0[2], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~9 , hdmi_ctrl_inst|encode_inst0|Add16~9, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~10 , hdmi_ctrl_inst|encode_inst0|Add16~10, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add12~0 , hdmi_ctrl_inst|encode_inst0|Add12~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_n1[1] , hdmi_ctrl_inst|encode_inst0|q_m_n1[1], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add14~1 , hdmi_ctrl_inst|encode_inst0|Add14~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_n0[1] , hdmi_ctrl_inst|encode_inst0|q_m_n0[1], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~13 , hdmi_ctrl_inst|encode_inst0|Add16~13, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~14 , hdmi_ctrl_inst|encode_inst0|Add16~14, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_n1[0] , hdmi_ctrl_inst|encode_inst0|q_m_n1[0], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~15 , hdmi_ctrl_inst|encode_inst0|Add16~15, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Equal2~0 , hdmi_ctrl_inst|encode_inst0|Equal2~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Equal2~1 , hdmi_ctrl_inst|encode_inst0|Equal2~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|cnt[0]~6 , hdmi_ctrl_inst|encode_inst0|cnt[0]~6, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|cnt[3]~13 , hdmi_ctrl_inst|encode_inst0|cnt[3]~13, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add4~1 , hdmi_ctrl_inst|encode_inst0|Add4~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|de_reg1 , hdmi_ctrl_inst|encode_inst2|de_reg1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|de_reg2~feeder , hdmi_ctrl_inst|encode_inst2|de_reg2~feeder, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|de_reg2 , hdmi_ctrl_inst|encode_inst2|de_reg2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|cnt[3] , hdmi_ctrl_inst|encode_inst0|cnt[3], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|condition_2 , hdmi_ctrl_inst|encode_inst0|condition_2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|Add16~6 , hdmi_ctrl_inst|encode_inst0|Add16~6, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|cnt[4]~15 , hdmi_ctrl_inst|encode_inst0|cnt[4]~15, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|cnt[4] , hdmi_ctrl_inst|encode_inst0|cnt[4], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|condition_3~0 , hdmi_ctrl_inst|encode_inst0|condition_3~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|condition_3~2 , hdmi_ctrl_inst|encode_inst0|condition_3~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out~1 , hdmi_ctrl_inst|encode_inst0|data_out~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder , hdmi_ctrl_inst|encode_inst0|data_out[1]~feeder, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|LessThan0~0 , vga_ctrl_inst|LessThan0~0, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|LessThan0~1 , vga_ctrl_inst|LessThan0~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|c0_reg1 , hdmi_ctrl_inst|encode_inst2|c0_reg1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|c0_reg2 , hdmi_ctrl_inst|encode_inst2|c0_reg2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[1] , hdmi_ctrl_inst|encode_inst0|data_out[1], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m[7]~1 , hdmi_ctrl_inst|encode_inst0|q_m[7]~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|q_m_reg[5] , hdmi_ctrl_inst|encode_inst0|q_m_reg[5], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out~4 , hdmi_ctrl_inst|encode_inst0|data_out~4, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder , hdmi_ctrl_inst|encode_inst0|data_out[5]~feeder, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[5] , hdmi_ctrl_inst|encode_inst0|data_out[5], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|LessThan1~0 , vga_ctrl_inst|LessThan1~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|c1_reg1 , hdmi_ctrl_inst|encode_inst2|c1_reg1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder , hdmi_ctrl_inst|encode_inst2|c1_reg2~feeder, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|c1_reg2 , hdmi_ctrl_inst|encode_inst2|c1_reg2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out~7 , hdmi_ctrl_inst|encode_inst0|data_out~7, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[9] , hdmi_ctrl_inst|encode_inst0|data_out[9], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4 , hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~4, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4] , hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[4], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3 , hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~3, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3] , hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[3], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2 , hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2] , hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[2], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1 , hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1] , hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[1], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0 , hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0] , hdmi_ctrl_inst|par_to_ser_inst0|data_fall_s[0], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[0] , hdmi_ctrl_inst|encode_inst0|data_out[0], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out~3 , hdmi_ctrl_inst|encode_inst0|data_out~3, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst0|data_out[2] , hdmi_ctrl_inst|encode_inst0|data_out[2], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1 , hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1] , hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[1], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0 , hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0] , hdmi_ctrl_inst|par_to_ser_inst0|data_rise_s[0], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] , hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0], hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|LessThan17~2 , vga_pic_inst|LessThan17~2, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data[9]~15 , vga_pic_inst|pix_data[9]~15, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data~35 , vga_pic_inst|pix_data~35, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data~36 , vga_pic_inst|pix_data~36, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data~21 , vga_pic_inst|pix_data~21, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data~26 , vga_pic_inst|pix_data~26, hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|pix_x[11]~0 , vga_ctrl_inst|pix_x[11]~0, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data~27 , vga_pic_inst|pix_data~27, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data[10] , vga_pic_inst|pix_data[10], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|rgb[10]~2 , vga_ctrl_inst|rgb[10]~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|data_in_reg[7] , hdmi_ctrl_inst|encode_inst1|data_in_reg[7], hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data~29 , vga_pic_inst|pix_data~29, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data~30 , vga_pic_inst|pix_data~30, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data~31 , vga_pic_inst|pix_data~31, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data[8] , vga_pic_inst|pix_data[8], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|rgb[6]~4 , vga_ctrl_inst|rgb[6]~4, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|data_in_reg[2] , hdmi_ctrl_inst|encode_inst1|data_in_reg[2], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add5~0 , hdmi_ctrl_inst|encode_inst1|Add5~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|data_in_n1[2] , hdmi_ctrl_inst|encode_inst1|data_in_n1[2], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add14~1 , hdmi_ctrl_inst|encode_inst1|Add14~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_n0[1] , hdmi_ctrl_inst|encode_inst1|q_m_n0[1], hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data~28 , vga_pic_inst|pix_data~28, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data[9] , vga_pic_inst|pix_data[9], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|rgb[7]~3 , vga_ctrl_inst|rgb[7]~3, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|data_in_reg[4] , hdmi_ctrl_inst|encode_inst1|data_in_reg[4], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add13~0 , hdmi_ctrl_inst|encode_inst1|Add13~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_n1[1] , hdmi_ctrl_inst|encode_inst1|q_m_n1[1], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add13~1 , hdmi_ctrl_inst|encode_inst1|Add13~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_n1[2] , hdmi_ctrl_inst|encode_inst1|q_m_n1[2], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|condition_3~0 , hdmi_ctrl_inst|encode_inst1|condition_3~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|condition_3~1 , hdmi_ctrl_inst|encode_inst1|condition_3~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add14~2 , hdmi_ctrl_inst|encode_inst1|Add14~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_n0[3] , hdmi_ctrl_inst|encode_inst1|q_m_n0[3], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_n1[0] , hdmi_ctrl_inst|encode_inst1|q_m_n1[0], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add15~0 , hdmi_ctrl_inst|encode_inst1|Add15~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add15~4 , hdmi_ctrl_inst|encode_inst1|Add15~4, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add14~0 , hdmi_ctrl_inst|encode_inst1|Add14~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_n0[2] , hdmi_ctrl_inst|encode_inst1|q_m_n0[2], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add23~2 , hdmi_ctrl_inst|encode_inst1|Add23~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~9 , hdmi_ctrl_inst|encode_inst1|Add16~9, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~10 , hdmi_ctrl_inst|encode_inst1|Add16~10, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0 , hdmi_ctrl_inst|encode_inst1|q_m_reg[8]~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_reg[8] , hdmi_ctrl_inst|encode_inst1|q_m_reg[8], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~15 , hdmi_ctrl_inst|encode_inst1|Add16~15, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|cnt[0]~7 , hdmi_ctrl_inst|encode_inst1|cnt[0]~7, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|cnt[0] , hdmi_ctrl_inst|encode_inst1|cnt[0], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Equal1~0 , hdmi_ctrl_inst|encode_inst1|Equal1~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Equal1~1 , hdmi_ctrl_inst|encode_inst1|Equal1~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|cnt[0]~6 , hdmi_ctrl_inst|encode_inst1|cnt[0]~6, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|cnt[1]~9 , hdmi_ctrl_inst|encode_inst1|cnt[1]~9, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|cnt[2]~11 , hdmi_ctrl_inst|encode_inst1|cnt[2]~11, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|cnt[2] , hdmi_ctrl_inst|encode_inst1|cnt[2], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~3 , hdmi_ctrl_inst|encode_inst1|Add16~3, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~4 , hdmi_ctrl_inst|encode_inst1|Add16~4, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|cnt[3]~13 , hdmi_ctrl_inst|encode_inst1|cnt[3]~13, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|cnt[3] , hdmi_ctrl_inst|encode_inst1|cnt[3], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Equal2~0 , hdmi_ctrl_inst|encode_inst1|Equal2~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|condition_2 , hdmi_ctrl_inst|encode_inst1|condition_2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[0]~0 , hdmi_ctrl_inst|encode_inst1|data_out[0]~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~11 , hdmi_ctrl_inst|encode_inst1|Add16~11, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~12 , hdmi_ctrl_inst|encode_inst1|Add16~12, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|cnt[1] , hdmi_ctrl_inst|encode_inst1|cnt[1], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add22~6 , hdmi_ctrl_inst|encode_inst1|Add22~6, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|Add16~2 , hdmi_ctrl_inst|encode_inst1|Add16~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|cnt[4]~15 , hdmi_ctrl_inst|encode_inst1|cnt[4]~15, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|cnt[4] , hdmi_ctrl_inst|encode_inst1|cnt[4], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|condition_3~2 , hdmi_ctrl_inst|encode_inst1|condition_3~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|q_m_reg[3] , hdmi_ctrl_inst|encode_inst1|q_m_reg[3], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out~1 , hdmi_ctrl_inst|encode_inst1|data_out~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder , hdmi_ctrl_inst|encode_inst1|data_out[3]~feeder, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[3] , hdmi_ctrl_inst|encode_inst1|data_out[3], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out~3 , hdmi_ctrl_inst|encode_inst1|data_out~3, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder , hdmi_ctrl_inst|encode_inst1|data_out[5]~feeder, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[5] , hdmi_ctrl_inst|encode_inst1|data_out[5], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2 , hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2] , hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[2], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1 , hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1] , hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[1], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0 , hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0] , hdmi_ctrl_inst|par_to_ser_inst1|data_fall_s[0], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out~7 , hdmi_ctrl_inst|encode_inst1|data_out~7, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst1|data_out[8] , hdmi_ctrl_inst|encode_inst1|data_out[8], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4 , hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~4, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4] , hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[4], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3 , hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~3, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3] , hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[3], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2 , hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2] , hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[2], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1 , hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1] , hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[1], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0 , hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0] , hdmi_ctrl_inst|par_to_ser_inst1|data_rise_s[0], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] , hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0], hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data~33 , vga_pic_inst|pix_data~33, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data[13] , vga_pic_inst|pix_data[13], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|rgb[13]~6 , vga_ctrl_inst|rgb[13]~6, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|data_in_reg[3] , hdmi_ctrl_inst|encode_inst2|data_in_reg[3], hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data~32 , vga_pic_inst|pix_data~32, hdmi_colorbar, 1 +instance = comp, \vga_pic_inst|pix_data[15] , vga_pic_inst|pix_data[15], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add6~0 , hdmi_ctrl_inst|encode_inst2|Add6~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|data_in_n1[2] , hdmi_ctrl_inst|encode_inst2|data_in_n1[2], hdmi_colorbar, 1 +instance = comp, \vga_ctrl_inst|rgb[12]~5 , vga_ctrl_inst|rgb[12]~5, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|data_in_reg[4] , hdmi_ctrl_inst|encode_inst2|data_in_reg[4], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add12~0 , hdmi_ctrl_inst|encode_inst2|Add12~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_n1[1] , hdmi_ctrl_inst|encode_inst2|q_m_n1[1], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add14~0 , hdmi_ctrl_inst|encode_inst2|Add14~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_n0[2] , hdmi_ctrl_inst|encode_inst2|q_m_n0[2], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add12~1 , hdmi_ctrl_inst|encode_inst2|Add12~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_n1[2] , hdmi_ctrl_inst|encode_inst2|q_m_n1[2], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|condition_3~0 , hdmi_ctrl_inst|encode_inst2|condition_3~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0 , hdmi_ctrl_inst|encode_inst2|q_m_reg[8]~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_reg[8] , hdmi_ctrl_inst|encode_inst2|q_m_reg[8], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Equal2~0 , hdmi_ctrl_inst|encode_inst2|Equal2~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add19~0 , hdmi_ctrl_inst|encode_inst2|Add19~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~5 , hdmi_ctrl_inst|encode_inst2|Add16~5, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~6 , hdmi_ctrl_inst|encode_inst2|Add16~6, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Equal1~0 , hdmi_ctrl_inst|encode_inst2|Equal1~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Equal1~1 , hdmi_ctrl_inst|encode_inst2|Equal1~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|cnt[0]~6 , hdmi_ctrl_inst|encode_inst2|cnt[0]~6, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|cnt[0]~7 , hdmi_ctrl_inst|encode_inst2|cnt[0]~7, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|cnt[0] , hdmi_ctrl_inst|encode_inst2|cnt[0], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add15~0 , hdmi_ctrl_inst|encode_inst2|Add15~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~15 , hdmi_ctrl_inst|encode_inst2|Add16~15, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|cnt[1]~9 , hdmi_ctrl_inst|encode_inst2|cnt[1]~9, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|cnt[2]~11 , hdmi_ctrl_inst|encode_inst2|cnt[2]~11, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|cnt[3]~13 , hdmi_ctrl_inst|encode_inst2|cnt[3]~13, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|cnt[3] , hdmi_ctrl_inst|encode_inst2|cnt[3], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0 , hdmi_ctrl_inst|encode_inst2|q_m_n0[3]~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_n0[3] , hdmi_ctrl_inst|encode_inst2|q_m_n0[3], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|condition_2 , hdmi_ctrl_inst|encode_inst2|condition_2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[0]~0 , hdmi_ctrl_inst|encode_inst2|data_out[0]~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~14 , hdmi_ctrl_inst|encode_inst2|Add16~14, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|cnt[1] , hdmi_ctrl_inst|encode_inst2|cnt[1], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add23~2 , hdmi_ctrl_inst|encode_inst2|Add23~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add20~2 , hdmi_ctrl_inst|encode_inst2|Add20~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~7 , hdmi_ctrl_inst|encode_inst2|Add16~7, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~8 , hdmi_ctrl_inst|encode_inst2|Add16~8, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|cnt[2] , hdmi_ctrl_inst|encode_inst2|cnt[2], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_n1[0] , hdmi_ctrl_inst|encode_inst2|q_m_n1[0], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add17~8 , hdmi_ctrl_inst|encode_inst2|Add17~8, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add23~6 , hdmi_ctrl_inst|encode_inst2|Add23~6, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~0 , hdmi_ctrl_inst|encode_inst2|Add16~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add20~6 , hdmi_ctrl_inst|encode_inst2|Add20~6, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|Add16~1 , hdmi_ctrl_inst|encode_inst2|Add16~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|cnt[4]~15 , hdmi_ctrl_inst|encode_inst2|cnt[4]~15, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|cnt[4] , hdmi_ctrl_inst|encode_inst2|cnt[4], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|condition_3~2 , hdmi_ctrl_inst|encode_inst2|condition_3~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_reg[1] , hdmi_ctrl_inst|encode_inst2|q_m_reg[1], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out~1 , hdmi_ctrl_inst|encode_inst2|data_out~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder , hdmi_ctrl_inst|encode_inst2|data_out[1]~feeder, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[1] , hdmi_ctrl_inst|encode_inst2|data_out[1], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m[7]~1 , hdmi_ctrl_inst|encode_inst2|q_m[7]~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|q_m_reg[5] , hdmi_ctrl_inst|encode_inst2|q_m_reg[5], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out~4 , hdmi_ctrl_inst|encode_inst2|data_out~4, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder , hdmi_ctrl_inst|encode_inst2|data_out[5]~feeder, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[5] , hdmi_ctrl_inst|encode_inst2|data_out[5], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out~7 , hdmi_ctrl_inst|encode_inst2|data_out~7, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[9] , hdmi_ctrl_inst|encode_inst2|data_out[9], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4 , hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~4, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4] , hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[4], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3 , hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~3, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3] , hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[3], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2 , hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2] , hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[2], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1 , hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1] , hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[1], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0 , hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0] , hdmi_ctrl_inst|par_to_ser_inst2|data_fall_s[0], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[0] , hdmi_ctrl_inst|encode_inst2|data_out[0], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[4]~6 , hdmi_ctrl_inst|encode_inst2|data_out[4]~6, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell , hdmi_ctrl_inst|encode_inst2|c0_reg2~_wirecell, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|encode_inst2|data_out[6] , hdmi_ctrl_inst|encode_inst2|data_out[6], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3 , hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~3, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3] , hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[3], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2 , hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~2, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2] , hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[2], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1 , hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~1, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1] , hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[1], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0 , hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s~0, hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0] , hdmi_ctrl_inst|par_to_ser_inst2|data_rise_s[0], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] , hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst0|ALTDDIO_OUT_component|auto_generated|ddio_outa[0], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] , hdmi_ctrl_inst|par_to_ser_inst0|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] , hdmi_ctrl_inst|par_to_ser_inst1|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0], hdmi_colorbar, 1 +instance = comp, \hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0] , hdmi_ctrl_inst|par_to_ser_inst2|ddio_out_inst1|ALTDDIO_OUT_component|auto_generated|ddio_outa[0], hdmi_colorbar, 1 diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_v.sdo b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_v.sdo new file mode 100644 index 0000000..e7677ed --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/quartus_prj/simulation/modelsim/hdmi_colorbar_v.sdo @@ -0,0 +1,9062 @@ +// Copyright (C) 1991-2013 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + + +// +// Device: Altera EP4CE15F23C8 Package FBGA484 +// + +// +// This file contains Slow Corner delays for the design using part EP4CE15F23C8, +// with speed grade 8, core voltage 1.2V, and temperature 85 Celsius +// + +// +// This SDF file should be used for ModelSim (Verilog) only +// + +(DELAYFILE + (SDFVERSION "2.1") + (DESIGN "hdmi_colorbar") + (DATE "06/02/2023 04:17:19") + (VENDOR "Altera") + (PROGRAM "Quartus II 64-Bit") + (VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version") + (DIVIDER .) + (TIMESCALE 1 ps) + + (CELL + (CELLTYPE "cycloneive_pll") + (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll1) + (DELAY + (ABSOLUTE + (PORT areset (4503:4503:4503) (4503:4503:4503)) + (PORT inclk[0] (2340:2340:2340) (2340:2340:2340)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1720:1720:1720) (1682:1682:1682)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (PORT sload (1425:1425:1425) (1434:1434:1434)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (PORT sclr (1477:1477:1477) (1540:1540:1540)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (PORT sclr (1477:1477:1477) (1540:1540:1540)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (PORT sclr (1477:1477:1477) (1540:1540:1540)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1695:1695:1695) (1667:1667:1667)) + (PORT clrn (1887:1887:1887) (1859:1859:1859)) + (PORT sload (1861:1861:1861) (1950:1950:1950)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1846:1846:1846) (1857:1857:1857)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1638:1638:1638) (1522:1522:1522)) + (PORT clrn (1891:1891:1891) (1861:1861:1861)) + (PORT sload (1745:1745:1745) (1782:1782:1782)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1846:1846:1846) (1857:1857:1857)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1909:1909:1909) (1832:1832:1832)) + (PORT clrn (1891:1891:1891) (1861:1861:1861)) + (PORT sload (1745:1745:1745) (1782:1782:1782)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1152:1152:1152) (1087:1087:1087)) + (PORT datab (658:658:658) (680:680:680)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (457:457:457) (489:489:489)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~2) + (DELAY + (ABSOLUTE + (PORT dataa (596:596:596) (653:653:653)) + (PORT datab (834:834:834) (829:829:829)) + (IOPATH dataa combout (453:453:453) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (473:473:473) (489:489:489)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~4) + (DELAY + (ABSOLUTE + (PORT dataa (575:575:575) (619:619:619)) + (IOPATH dataa combout (453:453:453) (446:446:446)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add20\~6) + (DELAY + (ABSOLUTE + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~0) + (DELAY + (ABSOLUTE + (PORT dataa (593:593:593) (632:632:632)) + (PORT datab (364:364:364) (446:446:446)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~2) + (DELAY + (ABSOLUTE + (PORT dataa (894:894:894) (862:862:862)) + (PORT datab (648:648:648) (666:666:666)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~4) + (DELAY + (ABSOLUTE + (PORT dataa (912:912:912) (872:872:872)) + (PORT datab (615:615:615) (647:647:647)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~6) + (DELAY + (ABSOLUTE + (PORT datab (676:676:676) (689:689:689)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add17\~8) + (DELAY + (ABSOLUTE + (PORT datab (667:667:667) (676:676:676)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1151:1151:1151) (1087:1087:1087)) + (PORT datab (660:660:660) (683:683:683)) + (IOPATH dataa combout (456:456:456) (486:486:486)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~2) + (DELAY + (ABSOLUTE + (PORT dataa (595:595:595) (651:651:651)) + (PORT datab (835:835:835) (829:829:829)) + (IOPATH dataa combout (461:461:461) (486:486:486)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (455:455:455) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~4) + (DELAY + (ABSOLUTE + (PORT dataa (573:573:573) (617:617:617)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add23\~6) + (DELAY + (ABSOLUTE + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~0) + (DELAY + (ABSOLUTE + (PORT dataa (596:596:596) (635:635:635)) + (PORT datab (368:368:368) (450:450:450)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~2) + (DELAY + (ABSOLUTE + (PORT dataa (925:925:925) (879:879:879)) + (PORT datab (650:650:650) (669:669:669)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~4) + (DELAY + (ABSOLUTE + (PORT dataa (835:835:835) (820:820:820)) + (PORT datab (617:617:617) (649:649:649)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~6) + (DELAY + (ABSOLUTE + (PORT dataa (952:952:952) (905:905:905)) + (PORT datab (678:678:678) (691:691:691)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add15\~8) + (DELAY + (ABSOLUTE + (PORT datab (669:669:669) (678:678:678)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~4) + (DELAY + (ABSOLUTE + (PORT dataa (387:387:387) (471:471:471)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~4) + (DELAY + (ABSOLUTE + (PORT dataa (648:648:648) (655:655:655)) + (IOPATH dataa combout (453:453:453) (446:446:446)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\]\~7) + (DELAY + (ABSOLUTE + (PORT dataa (281:281:281) (313:313:313)) + (PORT datab (278:278:278) (303:303:303)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[1\]\~9) + (DELAY + (ABSOLUTE + (PORT dataa (833:833:833) (739:739:739)) + (PORT datab (275:275:275) (299:299:299)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[2\]\~11) + (DELAY + (ABSOLUTE + (PORT dataa (486:486:486) (477:477:477)) + (PORT datab (275:275:275) (299:299:299)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1593:1593:1593) (1472:1472:1472)) + (PORT clrn (1887:1887:1887) (1859:1859:1859)) + (PORT sload (1861:1861:1861) (1950:1950:1950)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1197:1197:1197) (1138:1138:1138)) + (PORT datab (1156:1156:1156) (1099:1099:1099)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (457:457:457) (489:489:489)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~2) + (DELAY + (ABSOLUTE + (PORT dataa (1117:1117:1117) (1073:1073:1073)) + (PORT datab (1847:1847:1847) (1760:1760:1760)) + (IOPATH dataa combout (453:453:453) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (473:473:473) (489:489:489)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~4) + (DELAY + (ABSOLUTE + (PORT datab (954:954:954) (924:924:924)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add20\~6) + (DELAY + (ABSOLUTE + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~0) + (DELAY + (ABSOLUTE + (PORT dataa (895:895:895) (878:878:878)) + (PORT datab (564:564:564) (590:590:590)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~2) + (DELAY + (ABSOLUTE + (PORT dataa (901:901:901) (889:889:889)) + (PORT datab (1145:1145:1145) (1082:1082:1082)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~4) + (DELAY + (ABSOLUTE + (PORT dataa (923:923:923) (902:902:902)) + (PORT datab (1132:1132:1132) (1072:1072:1072)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~6) + (DELAY + (ABSOLUTE + (PORT dataa (917:917:917) (895:895:895)) + (IOPATH dataa combout (461:461:461) (481:481:481)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add17\~8) + (DELAY + (ABSOLUTE + (PORT dataa (876:876:876) (872:872:872)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1195:1195:1195) (1136:1136:1136)) + (PORT datab (1157:1157:1157) (1100:1100:1100)) + (IOPATH dataa combout (456:456:456) (486:486:486)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~4) + (DELAY + (ABSOLUTE + (PORT datab (955:955:955) (925:925:925)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~6) + (DELAY + (ABSOLUTE + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~2) + (DELAY + (ABSOLUTE + (PORT dataa (1827:1827:1827) (1698:1698:1698)) + (PORT datab (921:921:921) (895:895:895)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~6) + (DELAY + (ABSOLUTE + (PORT dataa (918:918:918) (896:896:896)) + (PORT datab (901:901:901) (878:878:878)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~8) + (DELAY + (ABSOLUTE + (PORT dataa (875:875:875) (871:871:871)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~0) + (DELAY + (ABSOLUTE + (PORT dataa (881:881:881) (872:872:872)) + (PORT datab (644:644:644) (657:657:657)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~2) + (DELAY + (ABSOLUTE + (PORT dataa (924:924:924) (906:906:906)) + (IOPATH dataa combout (461:461:461) (481:481:481)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~4) + (DELAY + (ABSOLUTE + (PORT dataa (870:870:870) (859:859:859)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add19\~6) + (DELAY + (ABSOLUTE + (PORT datad (590:590:590) (616:616:616)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~0) + (DELAY + (ABSOLUTE + (PORT dataa (882:882:882) (873:873:873)) + (PORT datab (643:643:643) (656:656:656)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~2) + (DELAY + (ABSOLUTE + (PORT dataa (922:922:922) (904:904:904)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~4) + (DELAY + (ABSOLUTE + (PORT dataa (869:869:869) (859:859:859)) + (IOPATH dataa combout (453:453:453) (446:446:446)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1846:1846:1846) (1857:1857:1857)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1639:1639:1639) (1523:1523:1523)) + (PORT clrn (1891:1891:1891) (1861:1861:1861)) + (PORT sload (1745:1745:1745) (1782:1782:1782)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~0) + (DELAY + (ABSOLUTE + (PORT dataa (903:903:903) (913:913:913)) + (PORT datab (912:912:912) (901:901:901)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (457:457:457) (489:489:489)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~4) + (DELAY + (ABSOLUTE + (PORT datab (826:826:826) (816:816:816)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~0) + (DELAY + (ABSOLUTE + (PORT dataa (864:864:864) (829:829:829)) + (PORT datab (358:358:358) (434:434:434)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~2) + (DELAY + (ABSOLUTE + (PORT dataa (572:572:572) (609:609:609)) + (PORT datab (835:835:835) (807:807:807)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~4) + (DELAY + (ABSOLUTE + (PORT dataa (361:361:361) (445:445:445)) + (PORT datab (823:823:823) (799:799:799)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~6) + (DELAY + (ABSOLUTE + (PORT dataa (844:844:844) (829:829:829)) + (IOPATH dataa combout (461:461:461) (481:481:481)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~0) + (DELAY + (ABSOLUTE + (PORT dataa (902:902:902) (912:912:912)) + (PORT datab (908:908:908) (897:897:897)) + (IOPATH dataa combout (456:456:456) (486:486:486)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~4) + (DELAY + (ABSOLUTE + (PORT datab (823:823:823) (813:813:813)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~2) + (DELAY + (ABSOLUTE + (PORT dataa (827:827:827) (822:822:822)) + (PORT datab (368:368:368) (449:449:449)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~4) + (DELAY + (ABSOLUTE + (PORT dataa (371:371:371) (458:458:458)) + (PORT datab (870:870:870) (840:840:840)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~6) + (DELAY + (ABSOLUTE + (PORT dataa (884:884:884) (866:866:866)) + (PORT datab (360:360:360) (437:437:437)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~8) + (DELAY + (ABSOLUTE + (PORT datad (355:355:355) (432:432:432)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~2) + (DELAY + (ABSOLUTE + (PORT datab (581:581:581) (607:607:607)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~4) + (DELAY + (ABSOLUTE + (PORT dataa (573:573:573) (617:617:617)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~6) + (DELAY + (ABSOLUTE + (PORT datad (594:594:594) (617:617:617)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~0) + (DELAY + (ABSOLUTE + (PORT dataa (948:948:948) (905:905:905)) + (PORT datab (863:863:863) (851:851:851)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~2) + (DELAY + (ABSOLUTE + (PORT datab (583:583:583) (610:610:610)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~4) + (DELAY + (ABSOLUTE + (PORT dataa (576:576:576) (619:619:619)) + (IOPATH dataa combout (453:453:453) (446:446:446)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add22\~6) + (DELAY + (ABSOLUTE + (PORT datad (596:596:596) (619:619:619)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1674:1674:1674) (1551:1551:1551)) + (PORT clrn (1893:1893:1893) (1863:1863:1863)) + (PORT sload (1763:1763:1763) (1796:1796:1796)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[6\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1578:1578:1578) (1474:1474:1474)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (PORT sload (1624:1624:1624) (1684:1684:1684)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[7\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1720:1720:1720) (1681:1681:1681)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (PORT sload (1425:1425:1425) (1434:1434:1434)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~2) + (DELAY + (ABSOLUTE + (PORT dataa (370:370:370) (460:460:460)) + (IOPATH dataa combout (461:461:461) (481:481:481)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~10) + (DELAY + (ABSOLUTE + (PORT dataa (993:993:993) (970:970:970)) + (IOPATH dataa combout (461:461:461) (481:481:481)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~2) + (DELAY + (ABSOLUTE + (PORT datab (627:627:627) (630:630:630)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~6) + (DELAY + (ABSOLUTE + (PORT dataa (585:585:585) (622:622:622)) + (IOPATH dataa combout (461:461:461) (481:481:481)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~8) + (DELAY + (ABSOLUTE + (PORT datab (629:629:629) (633:633:633)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~10) + (DELAY + (ABSOLUTE + (PORT dataa (362:362:362) (446:446:446)) + (IOPATH dataa combout (461:461:461) (481:481:481)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~12) + (DELAY + (ABSOLUTE + (PORT datab (625:625:625) (633:633:633)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~14) + (DELAY + (ABSOLUTE + (PORT dataa (571:571:571) (609:609:609)) + (IOPATH dataa combout (461:461:461) (481:481:481)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~16) + (DELAY + (ABSOLUTE + (PORT datab (624:624:624) (633:633:633)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~18) + (DELAY + (ABSOLUTE + (PORT datab (593:593:593) (620:620:620)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~20) + (DELAY + (ABSOLUTE + (PORT dataa (362:362:362) (445:445:445)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~1) + (DELAY + (ABSOLUTE + (PORT datac (296:296:296) (374:374:374)) + (PORT datad (407:407:407) (519:519:519)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1841:1841:1841) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1858:1858:1858)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~1) + (DELAY + (ABSOLUTE + (PORT dataa (597:597:597) (654:654:654)) + (PORT datab (655:655:655) (676:676:676)) + (PORT datac (534:534:534) (564:564:564)) + (PORT datad (523:523:523) (548:548:548)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal1\~0) + (DELAY + (ABSOLUTE + (PORT dataa (858:858:858) (858:858:858)) + (PORT datab (649:649:649) (667:667:667)) + (PORT datac (574:574:574) (610:610:610)) + (PORT datad (553:553:553) (583:583:583)) + (IOPATH dataa combout (456:456:456) (486:486:486)) + (IOPATH datab combout (457:457:457) (489:489:489)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1893:1893:1893) (1863:1863:1863)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~1) + (DELAY + (ABSOLUTE + (PORT dataa (903:903:903) (912:912:912)) + (PORT datab (911:911:911) (901:901:901)) + (PORT datac (899:899:899) (887:887:887)) + (PORT datad (822:822:822) (805:805:805)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1893:1893:1893) (1863:1863:1863)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~2) + (DELAY + (ABSOLUTE + (PORT datac (304:304:304) (387:387:387)) + (PORT datad (407:407:407) (519:519:519)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~0) + (DELAY + (ABSOLUTE + (PORT dataa (859:859:859) (770:770:770)) + (PORT datab (865:865:865) (826:826:826)) + (PORT datac (750:750:750) (670:670:670)) + (PORT datad (263:263:263) (281:281:281)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~1) + (DELAY + (ABSOLUTE + (PORT dataa (795:795:795) (721:721:721)) + (PORT datab (277:277:277) (302:302:302)) + (PORT datac (745:745:745) (662:662:662)) + (PORT datad (757:757:757) (701:701:701)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~3) + (DELAY + (ABSOLUTE + (PORT dataa (280:280:280) (312:312:312)) + (PORT datab (842:842:842) (796:796:796)) + (PORT datac (535:535:535) (512:512:512)) + (PORT datad (454:454:454) (434:434:434)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~4) + (DELAY + (ABSOLUTE + (PORT dataa (489:489:489) (480:480:480)) + (PORT datab (543:543:543) (503:503:503)) + (PORT datac (238:238:238) (264:264:264)) + (PORT datad (805:805:805) (758:758:758)) + (IOPATH dataa combout (404:404:404) (398:398:398)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~5) + (DELAY + (ABSOLUTE + (PORT dataa (877:877:877) (824:824:824)) + (PORT datab (866:866:866) (826:826:826)) + (PORT datac (237:237:237) (264:264:264)) + (PORT datad (470:470:470) (442:442:442)) + (IOPATH dataa combout (438:438:438) (448:448:448)) + (IOPATH datab combout (440:440:440) (462:462:462)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~7) + (DELAY + (ABSOLUTE + (PORT dataa (851:851:851) (762:762:762)) + (PORT datab (276:276:276) (300:300:300)) + (PORT datac (535:535:535) (511:511:511)) + (PORT datad (803:803:803) (756:756:756)) + (IOPATH dataa combout (404:404:404) (398:398:398)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~8) + (DELAY + (ABSOLUTE + (PORT dataa (760:760:760) (691:691:691)) + (PORT datab (530:530:530) (493:493:493)) + (PORT datac (432:432:432) (415:415:415)) + (PORT datad (516:516:516) (508:508:508)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~11) + (DELAY + (ABSOLUTE + (PORT dataa (492:492:492) (484:484:484)) + (PORT datab (276:276:276) (301:301:301)) + (PORT datac (535:535:535) (511:511:511)) + (PORT datad (803:803:803) (755:755:755)) + (IOPATH dataa combout (453:453:453) (446:446:446)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~12) + (DELAY + (ABSOLUTE + (PORT dataa (280:280:280) (313:313:313)) + (PORT datab (755:755:755) (701:701:701)) + (PORT datac (238:238:238) (264:264:264)) + (PORT datad (800:800:800) (752:752:752)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~16) + (DELAY + (ABSOLUTE + (PORT dataa (558:558:558) (561:561:561)) + (PORT datac (843:843:843) (804:804:804)) + (PORT datad (323:323:323) (393:393:393)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal1\~1) + (DELAY + (ABSOLUTE + (PORT datac (627:627:627) (653:653:653)) + (PORT datad (246:246:246) (271:271:271)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add12\~1) + (DELAY + (ABSOLUTE + (PORT datab (1351:1351:1351) (1290:1290:1290)) + (PORT datad (1269:1269:1269) (1219:1219:1219)) + (IOPATH datab combout (435:435:435) (433:433:433)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1841:1841:1841) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1858:1858:1858)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~2) + (DELAY + (ABSOLUTE + (PORT dataa (804:804:804) (800:800:800)) + (PORT datab (955:955:955) (950:950:950)) + (PORT datac (833:833:833) (778:778:778)) + (PORT datad (823:823:823) (778:778:778)) + (IOPATH dataa combout (471:471:471) (481:481:481)) + (IOPATH datab combout (393:393:393) (412:412:412)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~2) + (DELAY + (ABSOLUTE + (PORT dataa (338:338:338) (422:422:422)) + (PORT datab (338:338:338) (415:415:415)) + (PORT datac (1078:1078:1078) (1104:1104:1104)) + (IOPATH dataa combout (453:453:453) (446:446:446)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~0) + (DELAY + (ABSOLUTE + (PORT dataa (912:912:912) (859:859:859)) + (PORT datab (278:278:278) (303:303:303)) + (PORT datac (491:491:491) (465:465:465)) + (PORT datad (1139:1139:1139) (1035:1035:1035)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~1) + (DELAY + (ABSOLUTE + (PORT dataa (747:747:747) (682:682:682)) + (PORT datab (277:277:277) (302:302:302)) + (PORT datac (236:236:236) (263:263:263)) + (PORT datad (1138:1138:1138) (1034:1034:1034)) + (IOPATH dataa combout (405:405:405) (398:398:398)) + (IOPATH datab combout (407:407:407) (408:408:408)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~5) + (DELAY + (ABSOLUTE + (PORT dataa (307:307:307) (339:339:339)) + (PORT datab (740:740:740) (675:675:675)) + (PORT datac (1032:1032:1032) (927:927:927)) + (PORT datad (837:837:837) (830:830:830)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~6) + (DELAY + (ABSOLUTE + (PORT dataa (1075:1075:1075) (974:974:974)) + (PORT datab (476:476:476) (461:461:461)) + (PORT datac (235:235:235) (261:261:261)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~7) + (DELAY + (ABSOLUTE + (PORT dataa (1089:1089:1089) (992:992:992)) + (PORT datab (276:276:276) (301:301:301)) + (PORT datac (1498:1498:1498) (1433:1433:1433)) + (PORT datad (535:535:535) (510:510:510)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~8) + (DELAY + (ABSOLUTE + (PORT dataa (761:761:761) (694:694:694)) + (PORT datab (274:274:274) (299:299:299)) + (PORT datac (1046:1046:1046) (946:946:946)) + (PORT datad (855:855:855) (828:828:828)) + (IOPATH dataa combout (453:453:453) (413:413:413)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~13) + (DELAY + (ABSOLUTE + (PORT dataa (281:281:281) (313:313:313)) + (PORT datab (544:544:544) (508:508:508)) + (PORT datac (852:852:852) (812:812:812)) + (PORT datad (1139:1139:1139) (1035:1035:1035)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~14) + (DELAY + (ABSOLUTE + (PORT dataa (279:279:279) (311:311:311)) + (PORT datab (275:275:275) (299:299:299)) + (PORT datac (446:446:446) (428:428:428)) + (PORT datad (1139:1139:1139) (1035:1035:1035)) + (IOPATH dataa combout (405:405:405) (398:398:398)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~16) + (DELAY + (ABSOLUTE + (PORT datab (884:884:884) (819:819:819)) + (PORT datac (527:527:527) (559:559:559)) + (PORT datad (831:831:831) (826:826:826)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal2\~1) + (DELAY + (ABSOLUTE + (PORT dataa (495:495:495) (474:474:474)) + (PORT datad (839:839:839) (832:832:832)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT asdata (812:812:812) (920:920:920)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~2) + (DELAY + (ABSOLUTE + (PORT dataa (382:382:382) (479:479:479)) + (PORT datab (820:820:820) (746:746:746)) + (PORT datad (782:782:782) (722:722:722)) + (IOPATH dataa combout (421:421:421) (418:418:418)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~2) + (DELAY + (ABSOLUTE + (PORT dataa (281:281:281) (313:313:313)) + (PORT datab (276:276:276) (301:301:301)) + (PORT datac (825:825:825) (781:781:781)) + (PORT datad (771:771:771) (703:703:703)) + (IOPATH dataa combout (404:404:404) (398:398:398)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~3) + (DELAY + (ABSOLUTE + (PORT dataa (764:764:764) (699:699:699)) + (PORT datab (858:858:858) (804:804:804)) + (PORT datac (812:812:812) (756:756:756)) + (PORT datad (239:239:239) (258:258:258)) + (IOPATH dataa combout (453:453:453) (413:413:413)) + (IOPATH datab combout (455:455:455) (424:424:424)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~4) + (DELAY + (ABSOLUTE + (PORT dataa (828:828:828) (753:753:753)) + (PORT datab (277:277:277) (302:302:302)) + (PORT datac (236:236:236) (262:262:262)) + (PORT datad (800:800:800) (757:757:757)) + (IOPATH dataa combout (405:405:405) (398:398:398)) + (IOPATH datab combout (407:407:407) (408:408:408)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~9) + (DELAY + (ABSOLUTE + (PORT dataa (889:889:889) (829:829:829)) + (PORT datab (276:276:276) (301:301:301)) + (PORT datac (776:776:776) (757:757:757)) + (PORT datad (775:775:775) (731:731:731)) + (IOPATH dataa combout (471:471:471) (481:481:481)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~10) + (DELAY + (ABSOLUTE + (PORT dataa (280:280:280) (312:312:312)) + (PORT datab (875:875:875) (847:847:847)) + (PORT datac (444:444:444) (416:416:416)) + (PORT datad (774:774:774) (731:731:731)) + (IOPATH dataa combout (404:404:404) (398:398:398)) + (IOPATH datab combout (432:432:432) (433:433:433)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~11) + (DELAY + (ABSOLUTE + (PORT dataa (279:279:279) (310:310:310)) + (PORT datab (749:749:749) (675:675:675)) + (PORT datac (817:817:817) (761:761:761)) + (PORT datad (800:800:800) (758:758:758)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~12) + (DELAY + (ABSOLUTE + (PORT dataa (490:490:490) (467:467:467)) + (PORT datab (541:541:541) (500:500:500)) + (PORT datac (236:236:236) (262:262:262)) + (PORT datad (800:800:800) (758:758:758)) + (IOPATH dataa combout (404:404:404) (398:398:398)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~13) + (DELAY + (ABSOLUTE + (PORT dataa (890:890:890) (831:831:831)) + (PORT datab (605:605:605) (619:619:619)) + (PORT datac (237:237:237) (263:263:263)) + (PORT datad (775:775:775) (732:732:732)) + (IOPATH dataa combout (471:471:471) (481:481:481)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~16) + (DELAY + (ABSOLUTE + (PORT datab (380:380:380) (471:471:471)) + (PORT datac (816:816:816) (798:798:798)) + (PORT datad (832:832:832) (765:765:765)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal2\~1) + (DELAY + (ABSOLUTE + (PORT datab (842:842:842) (749:749:749)) + (PORT datad (546:546:546) (575:575:575)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add14\~1) + (DELAY + (ABSOLUTE + (PORT datab (989:989:989) (988:988:988)) + (PORT datac (1022:1022:1022) (1037:1037:1037)) + (PORT datad (958:958:958) (970:970:970)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1893:1893:1893) (1863:1863:1863)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~2) + (DELAY + (ABSOLUTE + (PORT dataa (383:383:383) (477:477:477)) + (PORT datab (333:333:333) (409:409:409)) + (PORT datac (772:772:772) (715:715:715)) + (PORT datad (817:817:817) (739:739:739)) + (IOPATH dataa combout (438:438:438) (448:448:448)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~3) + (DELAY + (ABSOLUTE + (PORT datab (1255:1255:1255) (1209:1209:1209)) + (PORT datac (944:944:944) (966:966:966)) + (PORT datad (755:755:755) (685:685:685)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~0) + (DELAY + (ABSOLUTE + (PORT dataa (868:868:868) (851:851:851)) + (PORT datab (366:366:366) (449:449:449)) + (PORT datac (326:326:326) (411:411:411)) + (PORT datad (328:328:328) (401:401:401)) + (IOPATH dataa combout (456:456:456) (486:486:486)) + (IOPATH datab combout (457:457:457) (489:489:489)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[10\]) + (DELAY + (ABSOLUTE + (PORT clk (1839:1839:1839) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1883:1883:1883) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~3) + (DELAY + (ABSOLUTE + (PORT dataa (765:765:765) (716:716:716)) + (PORT datab (889:889:889) (877:877:877)) + (PORT datac (753:753:753) (687:687:687)) + (PORT datad (245:245:245) (267:267:267)) + (IOPATH dataa combout (405:405:405) (398:398:398)) + (IOPATH datab combout (435:435:435) (424:424:424)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[3\]\~0) + (DELAY + (ABSOLUTE + (PORT datac (1168:1168:1168) (1142:1142:1142)) + (PORT datad (1267:1267:1267) (1216:1216:1216)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1841:1841:1841) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1858:1858:1858)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~5) + (DELAY + (ABSOLUTE + (PORT dataa (809:809:809) (806:806:806)) + (PORT datab (956:956:956) (950:950:950)) + (PORT datac (833:833:833) (778:778:778)) + (PORT datad (823:823:823) (778:778:778)) + (IOPATH dataa combout (471:471:471) (481:481:481)) + (IOPATH datab combout (393:393:393) (412:412:412)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~3) + (DELAY + (ABSOLUTE + (PORT datab (537:537:537) (565:565:565)) + (PORT datac (1078:1078:1078) (1103:1103:1103)) + (PORT datad (296:296:296) (366:366:366)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~3) + (DELAY + (ABSOLUTE + (PORT dataa (342:342:342) (426:426:426)) + (PORT datab (1095:1095:1095) (1095:1095:1095)) + (PORT datac (295:295:295) (373:373:373)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT asdata (804:804:804) (886:886:886)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~4) + (DELAY + (ABSOLUTE + (PORT dataa (379:379:379) (476:476:476)) + (PORT datab (825:825:825) (751:751:751)) + (PORT datad (775:775:775) (715:715:715)) + (IOPATH dataa combout (421:421:421) (418:418:418)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[3\]\~0) + (DELAY + (ABSOLUTE + (PORT datac (1020:1020:1020) (1034:1034:1034)) + (PORT datad (928:928:928) (938:938:938)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1893:1893:1893) (1863:1863:1863)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~5) + (DELAY + (ABSOLUTE + (PORT dataa (322:322:322) (366:366:366)) + (PORT datab (336:336:336) (412:412:412)) + (PORT datac (569:569:569) (609:609:609)) + (PORT datad (281:281:281) (305:305:305)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_x\[10\]\~1) + (DELAY + (ABSOLUTE + (PORT datac (854:854:854) (811:811:811)) + (PORT datad (522:522:522) (522:522:522)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|always0\~1) + (DELAY + (ABSOLUTE + (PORT dataa (932:932:932) (901:901:901)) + (PORT datac (1188:1188:1188) (1099:1099:1099)) + (PORT datad (929:929:929) (884:884:884)) + (IOPATH dataa combout (453:453:453) (446:446:446)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|always0\~2) + (DELAY + (ABSOLUTE + (PORT dataa (278:278:278) (310:310:310)) + (PORT datab (937:937:937) (879:879:879)) + (PORT datac (1512:1512:1512) (1404:1404:1404)) + (PORT datad (272:272:272) (294:294:294)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\[9\]\~14) + (DELAY + (ABSOLUTE + (PORT datac (1188:1188:1188) (1100:1100:1100)) + (PORT datad (889:889:889) (849:849:849)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~16) + (DELAY + (ABSOLUTE + (PORT dataa (296:296:296) (341:341:341)) + (PORT datab (292:292:292) (326:326:326)) + (PORT datac (858:858:858) (809:809:809)) + (PORT datad (856:856:856) (807:807:807)) + (IOPATH dataa combout (404:404:404) (398:398:398)) + (IOPATH datab combout (435:435:435) (424:424:424)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~8) + (DELAY + (ABSOLUTE + (PORT datab (937:937:937) (896:896:896)) + (PORT datac (854:854:854) (819:819:819)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (324:324:324) (315:315:315)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[10\]\~12) + (DELAY + (ABSOLUTE + (PORT dataa (279:279:279) (311:311:311)) + (PORT datab (1456:1456:1456) (1327:1327:1327)) + (PORT datad (565:565:565) (552:552:552)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (440:440:440) (462:462:462)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[4\]\~2) + (DELAY + (ABSOLUTE + (PORT datab (1351:1351:1351) (1290:1290:1290)) + (PORT datac (1168:1168:1168) (1143:1143:1143)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[6\]\~6) + (DELAY + (ABSOLUTE + (PORT dataa (878:878:878) (825:825:825)) + (PORT datab (955:955:955) (949:949:949)) + (PORT datad (823:823:823) (779:779:779)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (435:435:435) (433:433:433)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1887:1887:1887) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~4) + (DELAY + (ABSOLUTE + (PORT dataa (339:339:339) (423:423:423)) + (PORT datac (1078:1078:1078) (1103:1103:1103)) + (IOPATH dataa combout (453:453:453) (446:446:446)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|LessThan10\~0) + (DELAY + (ABSOLUTE + (PORT dataa (903:903:903) (865:865:865)) + (PORT datab (867:867:867) (809:809:809)) + (PORT datac (518:518:518) (527:527:527)) + (PORT datad (531:531:531) (521:521:521)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~22) + (DELAY + (ABSOLUTE + (PORT datac (855:855:855) (812:812:812)) + (PORT datad (484:484:484) (461:461:461)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~23) + (DELAY + (ABSOLUTE + (PORT dataa (573:573:573) (572:572:572)) + (PORT datab (278:278:278) (304:304:304)) + (PORT datac (519:519:519) (529:529:529)) + (PORT datad (529:529:529) (511:511:511)) + (IOPATH dataa combout (421:421:421) (418:418:418)) + (IOPATH datab combout (407:407:407) (408:408:408)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|LessThan14\~1) + (DELAY + (ABSOLUTE + (PORT dataa (602:602:602) (571:571:571)) + (PORT datad (484:484:484) (460:460:460)) + (IOPATH dataa combout (405:405:405) (398:398:398)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\[13\]\~24) + (DELAY + (ABSOLUTE + (PORT dataa (900:900:900) (862:862:862)) + (PORT datab (276:276:276) (301:301:301)) + (PORT datac (518:518:518) (527:527:527)) + (PORT datad (531:531:531) (522:522:522)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~25) + (DELAY + (ABSOLUTE + (PORT dataa (288:288:288) (327:327:327)) + (PORT datab (823:823:823) (731:731:731)) + (PORT datac (237:237:237) (264:264:264)) + (PORT datad (244:244:244) (266:266:266)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m\[5\]\~0) + (DELAY + (ABSOLUTE + (PORT datab (382:382:382) (470:470:470)) + (PORT datac (356:356:356) (475:475:475)) + (PORT datad (580:580:580) (608:608:608)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[7\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~5) + (DELAY + (ABSOLUTE + (PORT dataa (907:907:907) (862:862:862)) + (PORT datab (806:806:806) (759:759:759)) + (PORT datac (987:987:987) (856:856:856)) + (PORT datad (818:818:818) (801:801:801)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[9\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~4) + (DELAY + (ABSOLUTE + (PORT datab (338:338:338) (416:416:416)) + (PORT datac (1040:1040:1040) (1056:1056:1056)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[4\]\~2) + (DELAY + (ABSOLUTE + (PORT datab (961:961:961) (944:944:944)) + (PORT datad (921:921:921) (915:915:915)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1893:1893:1893) (1863:1863:1863)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~4) + (DELAY + (ABSOLUTE + (PORT dataa (340:340:340) (424:424:424)) + (PORT datab (1046:1046:1046) (1067:1067:1067)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH datab combout (454:454:454) (473:473:473)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~8) + (DELAY + (ABSOLUTE + (PORT dataa (1154:1154:1154) (1104:1104:1104)) + (PORT datab (898:898:898) (863:863:863)) + (PORT datac (1230:1230:1230) (1212:1212:1212)) + (IOPATH dataa combout (456:456:456) (486:486:486)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datac combout (324:324:324) (315:315:315)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m\[7\]\~1) + (DELAY + (ABSOLUTE + (PORT datab (396:396:396) (497:497:497)) + (PORT datac (348:348:348) (466:466:466)) + (PORT datad (586:586:586) (614:614:614)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~6) + (DELAY + (ABSOLUTE + (PORT dataa (638:638:638) (669:669:669)) + (PORT datab (370:370:370) (453:453:453)) + (PORT datac (1254:1254:1254) (1224:1224:1224)) + (PORT datad (264:264:264) (281:281:281)) + (IOPATH dataa combout (461:461:461) (486:486:486)) + (IOPATH datab combout (457:457:457) (489:489:489)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~8) + (DELAY + (ABSOLUTE + (PORT dataa (1004:1004:1004) (1011:1011:1011)) + (PORT datab (1256:1256:1256) (1209:1209:1209)) + (PORT datac (571:571:571) (611:611:611)) + (IOPATH dataa combout (456:456:456) (486:486:486)) + (IOPATH datab combout (457:457:457) (489:489:489)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~37) + (DELAY + (ABSOLUTE + (PORT dataa (897:897:897) (840:840:840)) + (PORT datab (567:567:567) (572:572:572)) + (PORT datac (860:860:860) (818:818:818)) + (PORT datad (245:245:245) (267:267:267)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (432:432:432) (433:433:433)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_clkctrl") + (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|wire_pll1_clk\[0\]\~clkctrl) + (DELAY + (ABSOLUTE + (PORT inclk[0] (2339:2339:2339) (2308:2308:2308)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[3\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (446:446:446) (409:409:409)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[2\]\~feeder) + (DELAY + (ABSOLUTE + (PORT dataa (1121:1121:1121) (1033:1033:1033)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[3\]\~feeder) + (DELAY + (ABSOLUTE + (PORT dataa (874:874:874) (818:818:818)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[4\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (469:469:469) (437:437:437)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[4\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datab (1177:1177:1177) (1055:1055:1055)) + (IOPATH datab combout (472:472:472) (473:473:473)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (238:238:238) (256:256:256)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[7\]\~feeder) + (DELAY + (ABSOLUTE + (PORT dataa (280:280:280) (312:312:312)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE tmds_clk_p\~output) + (DELAY + (ABSOLUTE + (IOPATH i o (2838:2838:2838) (2775:2775:2775)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE tmds_clk_n\~output) + (DELAY + (ABSOLUTE + (IOPATH i o (2838:2838:2838) (2775:2775:2775)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE tmds_data_p\[0\]\~output) + (DELAY + (ABSOLUTE + (IOPATH i o (2848:2848:2848) (2785:2785:2785)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE tmds_data_p\[1\]\~output) + (DELAY + (ABSOLUTE + (IOPATH i o (2848:2848:2848) (2785:2785:2785)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE tmds_data_p\[2\]\~output) + (DELAY + (ABSOLUTE + (IOPATH i o (2848:2848:2848) (2785:2785:2785)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE tmds_data_n\[0\]\~output) + (DELAY + (ABSOLUTE + (IOPATH i o (2838:2838:2838) (2775:2775:2775)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE tmds_data_n\[1\]\~output) + (DELAY + (ABSOLUTE + (IOPATH i o (2858:2858:2858) (2795:2795:2795)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE tmds_data_n\[2\]\~output) + (DELAY + (ABSOLUTE + (IOPATH i o (2848:2848:2848) (2785:2785:2785)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\~0) + (DELAY + (ABSOLUTE + (PORT datab (450:450:450) (567:567:567)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (462:462:462) (482:482:482)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|Add0\~1) + (DELAY + (ABSOLUTE + (PORT datad (304:304:304) (378:378:378)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT sclr (1069:1069:1069) (1226:1226:1226)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|Add0\~0) + (DELAY + (ABSOLUTE + (PORT datab (337:337:337) (414:414:414)) + (PORT datad (305:305:305) (378:378:378)) + (IOPATH datab combout (432:432:432) (433:433:433)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|cnt\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT sclr (1069:1069:1069) (1226:1226:1226)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~0) + (DELAY + (ABSOLUTE + (PORT dataa (339:339:339) (423:423:423)) + (PORT datad (407:407:407) (519:519:519)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[4\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (405:405:405) (517:517:517)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\~3) + (DELAY + (ABSOLUTE + (PORT datab (452:452:452) (569:569:569)) + (PORT datac (298:298:298) (377:377:377)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_fall_s\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~2) + (DELAY + (ABSOLUTE + (PORT datac (302:302:302) (385:385:385)) + (PORT datad (408:408:408) (520:520:520)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~1) + (DELAY + (ABSOLUTE + (PORT datab (339:339:339) (417:417:417)) + (PORT datad (406:406:406) (517:517:517)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\~0) + (DELAY + (ABSOLUTE + (PORT datab (336:336:336) (413:413:413)) + (PORT datad (406:406:406) (518:518:518)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|data_rise_s\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_ibuf") + (INSTANCE sys_clk\~input) + (DELAY + (ABSOLUTE + (IOPATH i o (806:806:806) (852:852:852)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_clkctrl") + (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|wire_pll1_clk\[1\]\~clkctrl) + (DELAY + (ABSOLUTE + (PORT inclk[0] (2339:2339:2339) (2308:2308:2308)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_latch") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi) + (DELAY + (ABSOLUTE + (PORT ENA (1793:1793:1793) (1777:1777:1777)) + (PORT D (1304:1304:1304) (1328:1328:1328)) + (IOPATH (negedge ENA) Q (234:234:234) (234:234:234)) + ) + ) + (TIMINGCHECK + (SETUP D (negedge ENA) (565:565:565)) + (HOLD D (negedge ENA) (126:126:126)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo) + (DELAY + (ABSOLUTE + (PORT clk (1793:1793:1793) (1777:1777:1777)) + (PORT d (1340:1340:1340) (1366:1366:1366)) + (IOPATH (posedge clk) q (234:234:234) (234:234:234)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (109:109:109)) + (HOLD d (posedge clk) (126:126:126)) + ) + ) + (CELL + (CELLTYPE "cycloneive_mux21") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux) + (DELAY + (ABSOLUTE + (PORT A (0:0:0) (0:0:0)) + (PORT B (0:0:0) (0:0:0)) + (PORT S (1966:1966:1966) (1972:1972:1972)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_routing_wire") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay) + (DELAY + (ABSOLUTE + (IOPATH datain dataout (548:548:548) (549:549:549)) + ) + ) + (DELAY + (PATHPULSE datain dataout (548:548:548)) + ) + ) + (CELL + (CELLTYPE "cycloneive_latch") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi) + (DELAY + (ABSOLUTE + (PORT ENA (1796:1796:1796) (1779:1779:1779)) + (PORT D (902:902:902) (941:941:941)) + (IOPATH (negedge ENA) Q (234:234:234) (234:234:234)) + ) + ) + (TIMINGCHECK + (SETUP D (negedge ENA) (565:565:565)) + (HOLD D (negedge ENA) (126:126:126)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo) + (DELAY + (ABSOLUTE + (PORT clk (1796:1796:1796) (1779:1779:1779)) + (PORT d (989:989:989) (1033:1033:1033)) + (IOPATH (posedge clk) q (234:234:234) (234:234:234)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (109:109:109)) + (HOLD d (posedge clk) (126:126:126)) + ) + ) + (CELL + (CELLTYPE "cycloneive_mux21") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux) + (DELAY + (ABSOLUTE + (PORT A (0:0:0) (0:0:0)) + (PORT B (0:0:0) (0:0:0)) + (PORT S (1969:1969:1969) (1974:1974:1974)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_routing_wire") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst3\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay) + (DELAY + (ABSOLUTE + (IOPATH datain dataout (548:548:548) (549:549:549)) + ) + ) + (DELAY + (PATHPULSE datain dataout (548:548:548)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~0) + (DELAY + (ABSOLUTE + (PORT datab (367:367:367) (448:448:448)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_ibuf") + (INSTANCE sys_rst_n\~input) + (DELAY + (ABSOLUTE + (IOPATH i o (766:766:766) (812:812:812)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE clk_gen_inst\|altpll_component\|auto_generated\|pll_lock_sync) + (DELAY + (ABSOLUTE + (PORT clk (1456:1456:1456) (1495:1495:1495)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (5321:5321:5321) (5126:5126:5126)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE rst_n\~0) + (DELAY + (ABSOLUTE + (PORT dataa (4410:4410:4410) (4581:4581:4581)) + (PORT datab (334:334:334) (410:410:410)) + (PORT datad (735:735:735) (769:769:769)) + (IOPATH dataa combout (421:421:421) (428:428:428)) + (IOPATH datab combout (435:435:435) (433:433:433)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_clkctrl") + (INSTANCE rst_n\~0clkctrl) + (DELAY + (ABSOLUTE + (PORT inclk[0] (1831:1831:1831) (1724:1724:1724)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~4) + (DELAY + (ABSOLUTE + (PORT dataa (370:370:370) (456:456:456)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~6) + (DELAY + (ABSOLUTE + (PORT datab (367:367:367) (450:450:450)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~8) + (DELAY + (ABSOLUTE + (PORT datab (359:359:359) (436:436:436)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~12) + (DELAY + (ABSOLUTE + (PORT datab (360:360:360) (436:436:436)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[6\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~14) + (DELAY + (ABSOLUTE + (PORT dataa (394:394:394) (484:484:484)) + (IOPATH dataa combout (461:461:461) (481:481:481)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[7\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Equal0\~0) + (DELAY + (ABSOLUTE + (PORT dataa (369:369:369) (459:459:459)) + (PORT datab (367:367:367) (449:449:449)) + (PORT datac (325:325:325) (410:410:410)) + (PORT datad (327:327:327) (404:404:404)) + (IOPATH dataa combout (392:392:392) (398:398:398)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~16) + (DELAY + (ABSOLUTE + (PORT datab (386:386:386) (464:464:464)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~18) + (DELAY + (ABSOLUTE + (PORT datab (396:396:396) (476:476:476)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~20) + (DELAY + (ABSOLUTE + (PORT datab (391:391:391) (473:473:473)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[10\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add0\~22) + (DELAY + (ABSOLUTE + (PORT dataa (395:395:395) (484:484:484)) + (IOPATH dataa combout (471:471:471) (481:481:481)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[11\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Equal0\~1) + (DELAY + (ABSOLUTE + (PORT dataa (395:395:395) (485:485:485)) + (PORT datab (393:393:393) (476:476:476)) + (PORT datac (353:353:353) (438:438:438)) + (PORT datad (350:350:350) (427:427:427)) + (IOPATH dataa combout (392:392:392) (407:407:407)) + (IOPATH datab combout (393:393:393) (412:412:412)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_h\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1109:1109:1109) (1022:1022:1022)) + (PORT datab (928:928:928) (885:885:885)) + (PORT datac (921:921:921) (868:868:868)) + (PORT datad (1141:1141:1141) (1043:1043:1043)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (432:432:432) (433:433:433)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1851:1851:1851)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Equal0\~2) + (DELAY + (ABSOLUTE + (PORT dataa (664:664:664) (684:684:684)) + (PORT datab (1270:1270:1270) (1209:1209:1209)) + (PORT datac (583:583:583) (606:606:606)) + (PORT datad (581:581:581) (607:607:607)) + (IOPATH dataa combout (453:453:453) (413:413:413)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_h\~2) + (DELAY + (ABSOLUTE + (PORT dataa (316:316:316) (354:354:354)) + (PORT datab (279:279:279) (305:305:305)) + (PORT datac (272:272:272) (304:304:304)) + (PORT datad (764:764:764) (688:688:688)) + (IOPATH dataa combout (420:420:420) (428:428:428)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_h\~1) + (DELAY + (ABSOLUTE + (PORT dataa (316:316:316) (354:354:354)) + (PORT datab (313:313:313) (342:342:342)) + (PORT datac (239:239:239) (266:266:266)) + (PORT datad (764:764:764) (689:689:689)) + (IOPATH dataa combout (420:420:420) (428:428:428)) + (IOPATH datab combout (432:432:432) (433:433:433)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_h\[9\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|LessThan4\~0) + (DELAY + (ABSOLUTE + (PORT datab (1268:1268:1268) (1206:1206:1206)) + (PORT datac (582:582:582) (606:606:606)) + (PORT datad (581:581:581) (606:606:606)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add4\~0) + (DELAY + (ABSOLUTE + (PORT dataa (610:610:610) (647:647:647)) + (PORT datab (671:671:671) (687:687:687)) + (PORT datac (623:623:623) (645:645:645)) + (PORT datad (745:745:745) (678:678:678)) + (IOPATH dataa combout (471:471:471) (481:481:481)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~1) + (DELAY + (ABSOLUTE + (PORT dataa (618:618:618) (627:627:627)) + (PORT datab (879:879:879) (839:839:839)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab cout (565:565:565) (421:421:421)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~3) + (DELAY + (ABSOLUTE + (PORT dataa (618:618:618) (627:627:627)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~5) + (DELAY + (ABSOLUTE + (PORT dataa (570:570:570) (596:596:596)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~7) + (DELAY + (ABSOLUTE + (PORT dataa (642:642:642) (653:653:653)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~9) + (DELAY + (ABSOLUTE + (PORT datab (1264:1264:1264) (1202:1202:1202)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~10) + (DELAY + (ABSOLUTE + (PORT datab (640:640:640) (654:654:654)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~12) + (DELAY + (ABSOLUTE + (PORT datab (583:583:583) (606:606:606)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~14) + (DELAY + (ABSOLUTE + (PORT dataa (659:659:659) (677:677:677)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~16) + (DELAY + (ABSOLUTE + (PORT dataa (650:650:650) (659:659:659)) + (IOPATH dataa combout (453:453:453) (446:446:446)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~18) + (DELAY + (ABSOLUTE + (PORT datab (645:645:645) (650:650:650)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~12) + (DELAY + (ABSOLUTE + (PORT dataa (911:911:911) (876:876:876)) + (PORT datab (569:569:569) (575:575:575)) + (PORT datac (520:520:520) (529:529:529)) + (PORT datad (529:529:529) (520:520:520)) + (IOPATH dataa combout (453:453:453) (413:413:413)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~5) + (DELAY + (ABSOLUTE + (PORT dataa (611:611:611) (648:648:648)) + (PORT datac (625:625:625) (647:647:647)) + (IOPATH dataa combout (471:471:471) (481:481:481)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Equal0\~3) + (DELAY + (ABSOLUTE + (PORT datab (970:970:970) (903:903:903)) + (PORT datac (883:883:883) (844:844:844)) + (PORT datad (1143:1143:1143) (1045:1045:1045)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[7\]\~7) + (DELAY + (ABSOLUTE + (PORT dataa (492:492:492) (473:473:473)) + (PORT datab (379:379:379) (446:446:446)) + (PORT datad (1204:1204:1204) (1128:1128:1128)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[7\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1853:1853:1853)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1857:1857:1857)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[5\]\~10) + (DELAY + (ABSOLUTE + (PORT dataa (281:281:281) (314:314:314)) + (PORT datab (1456:1456:1456) (1328:1328:1328)) + (PORT datad (564:564:564) (551:551:551)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (440:440:440) (462:462:462)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (1839:1839:1839) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1883:1883:1883) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[8\]\~6) + (DELAY + (ABSOLUTE + (PORT dataa (760:760:760) (694:694:694)) + (PORT datab (379:379:379) (445:445:445)) + (PORT datad (1205:1205:1205) (1129:1129:1129)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1853:1853:1853)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1857:1857:1857)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|always1\~0) + (DELAY + (ABSOLUTE + (PORT dataa (367:367:367) (455:455:455)) + (PORT datab (365:365:365) (447:447:447)) + (PORT datac (560:560:560) (575:575:575)) + (PORT datad (327:327:327) (400:400:400)) + (IOPATH dataa combout (456:456:456) (486:486:486)) + (IOPATH datab combout (457:457:457) (489:489:489)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[1\]\~1) + (DELAY + (ABSOLUTE + (PORT dataa (763:763:763) (715:715:715)) + (PORT datab (376:376:376) (441:441:441)) + (PORT datad (1210:1210:1210) (1134:1134:1134)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1853:1853:1853)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1857:1857:1857)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[4\]\~5) + (DELAY + (ABSOLUTE + (PORT dataa (538:538:538) (497:497:497)) + (PORT datab (375:375:375) (439:439:439)) + (PORT datad (1214:1214:1214) (1138:1138:1138)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1853:1853:1853)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1857:1857:1857)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|always1\~1) + (DELAY + (ABSOLUTE + (PORT dataa (812:812:812) (754:754:754)) + (PORT datab (310:310:310) (339:339:339)) + (PORT datac (329:329:329) (413:413:413)) + (PORT datad (330:330:330) (404:404:404)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~0) + (DELAY + (ABSOLUTE + (PORT datab (357:357:357) (433:433:433)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[0\]\~2) + (DELAY + (ABSOLUTE + (PORT dataa (628:628:628) (601:601:601)) + (PORT datab (277:277:277) (302:302:302)) + (PORT datad (1423:1423:1423) (1292:1292:1292)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1839:1839:1839) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1883:1883:1883) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[3\]\~3) + (DELAY + (ABSOLUTE + (PORT dataa (475:475:475) (462:462:462)) + (PORT datab (375:375:375) (440:440:440)) + (PORT datad (1213:1213:1213) (1137:1137:1137)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1853:1853:1853)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1857:1857:1857)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|always1\~2) + (DELAY + (ABSOLUTE + (PORT dataa (381:381:381) (475:475:475)) + (PORT datab (393:393:393) (476:476:476)) + (PORT datac (568:568:568) (594:594:594)) + (PORT datad (331:331:331) (409:409:409)) + (IOPATH dataa combout (392:392:392) (398:398:398)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[11\]\~0) + (DELAY + (ABSOLUTE + (PORT datab (287:287:287) (315:315:315)) + (PORT datac (239:239:239) (265:265:265)) + (PORT datad (1212:1212:1212) (1135:1135:1135)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[9\]\~9) + (DELAY + (ABSOLUTE + (PORT dataa (817:817:817) (737:737:737)) + (PORT datab (379:379:379) (444:444:444)) + (PORT datad (1206:1206:1206) (1129:1129:1129)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[9\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1853:1853:1853)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1857:1857:1857)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[6\]\~8) + (DELAY + (ABSOLUTE + (PORT dataa (489:489:489) (466:466:466)) + (PORT datab (380:380:380) (446:446:446)) + (PORT datad (1203:1203:1203) (1127:1127:1127)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[6\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1853:1853:1853)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1857:1857:1857)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~4) + (DELAY + (ABSOLUTE + (PORT datab (627:627:627) (631:631:631)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[2\]\~4) + (DELAY + (ABSOLUTE + (PORT dataa (532:532:532) (515:515:515)) + (PORT datab (484:484:484) (453:453:453)) + (PORT datad (1209:1209:1209) (1133:1133:1133)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1853:1853:1853)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1857:1857:1857)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add1\~22) + (DELAY + (ABSOLUTE + (PORT datad (320:320:320) (390:390:390)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|cnt_v\[11\]\~11) + (DELAY + (ABSOLUTE + (PORT dataa (634:634:634) (609:609:609)) + (PORT datab (276:276:276) (301:301:301)) + (PORT datad (1416:1416:1416) (1285:1285:1285)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_ctrl_inst\|cnt_v\[11\]) + (DELAY + (ABSOLUTE + (PORT clk (1839:1839:1839) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1883:1883:1883) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~2) + (DELAY + (ABSOLUTE + (PORT dataa (898:898:898) (867:867:867)) + (PORT datab (938:938:938) (897:897:897)) + (PORT datac (601:601:601) (619:619:619)) + (PORT datad (556:556:556) (578:578:578)) + (IOPATH dataa combout (456:456:456) (486:486:486)) + (IOPATH datab combout (457:457:457) (489:489:489)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~4) + (DELAY + (ABSOLUTE + (PORT dataa (791:791:791) (728:728:728)) + (PORT datac (851:851:851) (827:827:827)) + (PORT datad (247:247:247) (269:269:269)) + (IOPATH dataa combout (405:405:405) (398:398:398)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~6) + (DELAY + (ABSOLUTE + (PORT dataa (588:588:588) (554:554:554)) + (PORT datab (669:669:669) (685:685:685)) + (PORT datac (622:622:622) (644:644:644)) + (PORT datad (743:743:743) (677:677:677)) + (IOPATH dataa combout (393:393:393) (398:398:398)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~7) + (DELAY + (ABSOLUTE + (PORT dataa (767:767:767) (719:719:719)) + (PORT datab (277:277:277) (302:302:302)) + (PORT datac (261:261:261) (286:286:286)) + (PORT datad (240:240:240) (259:259:259)) + (IOPATH dataa combout (432:432:432) (446:446:446)) + (IOPATH datab combout (437:437:437) (436:436:436)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\[13\]\~11) + (DELAY + (ABSOLUTE + (PORT dataa (574:574:574) (573:573:573)) + (PORT datab (772:772:772) (714:714:714)) + (PORT datac (519:519:519) (528:528:528)) + (PORT datad (530:530:530) (512:512:512)) + (IOPATH dataa combout (392:392:392) (398:398:398)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|always0\~0) + (DELAY + (ABSOLUTE + (PORT dataa (566:566:566) (580:580:580)) + (PORT datab (568:568:568) (574:574:574)) + (PORT datac (862:862:862) (821:821:821)) + (PORT datad (246:246:246) (268:268:268)) + (IOPATH dataa combout (405:405:405) (398:398:398)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~13) + (DELAY + (ABSOLUTE + (PORT dataa (495:495:495) (490:490:490)) + (PORT datab (498:498:498) (492:492:492)) + (PORT datac (1541:1541:1541) (1408:1408:1408)) + (PORT datad (888:888:888) (834:834:834)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~17) + (DELAY + (ABSOLUTE + (PORT dataa (575:575:575) (574:574:574)) + (PORT datac (518:518:518) (527:527:527)) + (PORT datad (532:532:532) (514:514:514)) + (IOPATH dataa combout (421:421:421) (418:418:418)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~34) + (DELAY + (ABSOLUTE + (PORT dataa (898:898:898) (841:841:841)) + (PORT datab (568:568:568) (573:573:573)) + (PORT datac (861:861:861) (820:820:820)) + (PORT datad (238:238:238) (257:257:257)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (432:432:432) (433:433:433)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\[13\]\~8) + (DELAY + (ABSOLUTE + (PORT dataa (574:574:574) (573:573:573)) + (PORT datad (531:531:531) (513:513:513)) + (IOPATH dataa combout (421:421:421) (428:428:428)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\[13\]\~9) + (DELAY + (ABSOLUTE + (PORT dataa (905:905:905) (867:867:867)) + (PORT datab (566:566:566) (570:570:570)) + (PORT datac (518:518:518) (527:527:527)) + (PORT datad (237:237:237) (255:255:255)) + (IOPATH dataa combout (404:404:404) (398:398:398)) + (IOPATH datab combout (435:435:435) (424:424:424)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\[13\]\~10) + (DELAY + (ABSOLUTE + (PORT dataa (943:943:943) (877:877:877)) + (PORT datac (1395:1395:1395) (1222:1222:1222)) + (PORT datad (846:846:846) (790:790:790)) + (IOPATH dataa combout (420:420:420) (428:428:428)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~18) + (DELAY + (ABSOLUTE + (PORT dataa (884:884:884) (843:843:843)) + (PORT datab (284:284:284) (314:314:314)) + (PORT datac (839:839:839) (785:785:785)) + (PORT datad (254:254:254) (287:287:287)) + (IOPATH dataa combout (438:438:438) (448:448:448)) + (IOPATH datab combout (440:440:440) (462:462:462)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_pic_inst\|pix_data\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|Add2\~20) + (DELAY + (ABSOLUTE + (PORT datad (552:552:552) (568:568:568)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|LessThan17\~4) + (DELAY + (ABSOLUTE + (PORT dataa (935:935:935) (904:904:904)) + (PORT datab (914:914:914) (863:863:863)) + (PORT datac (1184:1184:1184) (1094:1094:1094)) + (PORT datad (934:934:934) (889:889:889)) + (IOPATH dataa combout (438:438:438) (448:448:448)) + (IOPATH datab combout (440:440:440) (462:462:462)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|LessThan17\~3) + (DELAY + (ABSOLUTE + (PORT dataa (929:929:929) (865:865:865)) + (PORT datab (920:920:920) (871:871:871)) + (PORT datac (1512:1512:1512) (1404:1404:1404)) + (PORT datad (236:236:236) (255:255:255)) + (IOPATH dataa combout (393:393:393) (398:398:398)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|LessThan14\~0) + (DELAY + (ABSOLUTE + (PORT dataa (934:934:934) (903:903:903)) + (PORT datac (863:863:863) (825:825:825)) + (PORT datad (930:930:930) (885:885:885)) + (IOPATH dataa combout (405:405:405) (398:398:398)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~19) + (DELAY + (ABSOLUTE + (PORT dataa (884:884:884) (843:843:843)) + (PORT datab (557:557:557) (517:517:517)) + (PORT datac (713:713:713) (629:629:629)) + (PORT datad (886:886:886) (832:832:832)) + (IOPATH dataa combout (456:456:456) (486:486:486)) + (IOPATH datab combout (455:455:455) (473:473:473)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~20) + (DELAY + (ABSOLUTE + (PORT datab (293:293:293) (327:327:327)) + (PORT datac (839:839:839) (786:786:786)) + (PORT datad (246:246:246) (268:268:268)) + (IOPATH datab combout (432:432:432) (433:433:433)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_pic_inst\|pix_data\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add6\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1161:1161:1161) (1056:1056:1056)) + (PORT datab (875:875:875) (820:820:820)) + (PORT datac (303:303:303) (386:386:386)) + (PORT datad (305:305:305) (379:379:379)) + (IOPATH dataa combout (392:392:392) (398:398:398)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_n1\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[8\]\~0) + (DELAY + (ABSOLUTE + (PORT datad (1272:1272:1272) (1221:1221:1221)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (1841:1841:1841) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1858:1858:1858)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1841:1841:1841) (1854:1854:1854)) + (PORT asdata (1683:1683:1683) (1621:1621:1621)) + (PORT clrn (1885:1885:1885) (1858:1858:1858)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|LessThan6\~0) + (DELAY + (ABSOLUTE + (PORT dataa (629:629:629) (641:641:641)) + (PORT datab (371:371:371) (451:451:451)) + (PORT datac (329:329:329) (412:412:412)) + (PORT datad (338:338:338) (422:422:422)) + (IOPATH dataa combout (438:438:438) (448:448:448)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_data_req\~1) + (DELAY + (ABSOLUTE + (PORT dataa (278:278:278) (310:310:310)) + (PORT datab (312:312:312) (342:342:342)) + (PORT datac (238:238:238) (264:264:264)) + (PORT datad (326:326:326) (399:399:399)) + (IOPATH dataa combout (461:461:461) (481:481:481)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|rgb\[1\]\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1263:1263:1263) (1171:1171:1171)) + (PORT datab (1498:1498:1498) (1358:1358:1358)) + (PORT datac (305:305:305) (388:388:388)) + (PORT datad (812:812:812) (770:770:770)) + (IOPATH dataa combout (392:392:392) (398:398:398)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_reg\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|rgb\[2\]\~1) + (DELAY + (ABSOLUTE + (PORT dataa (1264:1264:1264) (1172:1172:1172)) + (PORT datab (873:873:873) (818:818:818)) + (PORT datac (1455:1455:1455) (1319:1319:1319)) + (PORT datad (304:304:304) (378:378:378)) + (IOPATH dataa combout (392:392:392) (398:398:398)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_in_reg\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add14\~0) + (DELAY + (ABSOLUTE + (PORT datab (1349:1349:1349) (1288:1288:1288)) + (PORT datac (1169:1169:1169) (1143:1143:1143)) + (PORT datad (1270:1270:1270) (1219:1219:1219)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[3\]\~0) + (DELAY + (ABSOLUTE + (PORT datad (239:239:239) (257:257:257)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1841:1841:1841) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1858:1858:1858)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~0) + (DELAY + (ABSOLUTE + (PORT dataa (574:574:574) (613:613:613)) + (PORT datab (954:954:954) (909:909:909)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~2) + (DELAY + (ABSOLUTE + (PORT dataa (386:386:386) (470:470:470)) + (IOPATH dataa combout (461:461:461) (481:481:481)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add19\~6) + (DELAY + (ABSOLUTE + (PORT datab (386:386:386) (463:463:463)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~0) + (DELAY + (ABSOLUTE + (PORT dataa (556:556:556) (592:592:592)) + (PORT datab (955:955:955) (950:950:950)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~2) + (DELAY + (ABSOLUTE + (PORT dataa (644:644:644) (650:650:650)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add22\~6) + (DELAY + (ABSOLUTE + (PORT datad (565:565:565) (579:579:579)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~2) + (DELAY + (ABSOLUTE + (PORT dataa (557:557:557) (559:559:559)) + (PORT datab (502:502:502) (484:484:484)) + (PORT datac (236:236:236) (263:263:263)) + (PORT datad (454:454:454) (434:434:434)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (455:455:455) (412:412:412)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[0\]\~0) + (DELAY + (ABSOLUTE + (PORT dataa (876:876:876) (823:823:823)) + (PORT datab (950:950:950) (944:944:944)) + (PORT datad (822:822:822) (778:778:778)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (435:435:435) (433:433:433)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1841:1841:1841) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1858:1858:1858)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~9) + (DELAY + (ABSOLUTE + (PORT dataa (544:544:544) (530:530:530)) + (PORT datab (279:279:279) (304:304:304)) + (PORT datac (838:838:838) (805:805:805)) + (PORT datad (822:822:822) (778:778:778)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~10) + (DELAY + (ABSOLUTE + (PORT dataa (824:824:824) (813:813:813)) + (PORT datab (479:479:479) (462:462:462)) + (PORT datac (816:816:816) (749:749:749)) + (PORT datad (486:486:486) (455:455:455)) + (IOPATH dataa combout (420:420:420) (428:428:428)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add12\~0) + (DELAY + (ABSOLUTE + (PORT datab (1349:1349:1349) (1287:1287:1287)) + (PORT datac (1170:1170:1170) (1145:1145:1145)) + (PORT datad (1274:1274:1274) (1223:1223:1223)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1841:1841:1841) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1858:1858:1858)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add14\~1) + (DELAY + (ABSOLUTE + (PORT datab (1350:1350:1350) (1288:1288:1288)) + (PORT datac (1169:1169:1169) (1144:1144:1144)) + (PORT datad (1271:1271:1271) (1221:1221:1221)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n0\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1841:1841:1841) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1858:1858:1858)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~13) + (DELAY + (ABSOLUTE + (PORT dataa (544:544:544) (530:530:530)) + (PORT datab (280:280:280) (305:305:305)) + (PORT datac (882:882:882) (850:850:850)) + (PORT datad (822:822:822) (778:778:778)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~14) + (DELAY + (ABSOLUTE + (PORT dataa (858:858:858) (795:795:795)) + (PORT datab (278:278:278) (304:304:304)) + (PORT datac (793:793:793) (770:770:770)) + (PORT datad (453:453:453) (429:429:429)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_n1\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1854:1854:1854)) + (PORT asdata (1588:1588:1588) (1540:1540:1540)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~15) + (DELAY + (ABSOLUTE + (PORT dataa (558:558:558) (560:560:560)) + (PORT datab (530:530:530) (493:493:493)) + (PORT datac (477:477:477) (446:446:446)) + (PORT datad (896:896:896) (862:862:862)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal2\~0) + (DELAY + (ABSOLUTE + (PORT dataa (597:597:597) (654:654:654)) + (PORT datab (654:654:654) (676:676:676)) + (PORT datac (534:534:534) (564:564:564)) + (PORT datad (523:523:523) (548:548:548)) + (IOPATH dataa combout (456:456:456) (486:486:486)) + (IOPATH datab combout (473:473:473) (489:489:489)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Equal2\~1) + (DELAY + (ABSOLUTE + (PORT datac (866:866:866) (839:839:839)) + (PORT datad (477:477:477) (451:451:451)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[0\]\~6) + (DELAY + (ABSOLUTE + (PORT dataa (537:537:537) (496:496:496)) + (PORT datab (475:475:475) (459:459:459)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab cout (565:565:565) (421:421:421)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[3\]\~13) + (DELAY + (ABSOLUTE + (PORT dataa (806:806:806) (728:728:728)) + (PORT datab (541:541:541) (504:504:504)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add4\~1) + (DELAY + (ABSOLUTE + (PORT dataa (1259:1259:1259) (1166:1166:1166)) + (PORT datac (1452:1452:1452) (1315:1315:1315)) + (PORT datad (812:812:812) (771:771:771)) + (IOPATH dataa combout (405:405:405) (398:398:398)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg1) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg2\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (297:297:297) (368:368:368)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|de_reg2) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (PORT sclr (1477:1477:1477) (1540:1540:1540)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_2) + (DELAY + (ABSOLUTE + (PORT dataa (287:287:287) (322:322:322)) + (PORT datab (903:903:903) (872:872:872)) + (PORT datac (626:626:626) (652:652:652)) + (PORT datad (479:479:479) (453:453:453)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (432:432:432) (433:433:433)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|Add16\~6) + (DELAY + (ABSOLUTE + (PORT dataa (280:280:280) (312:312:312)) + (PORT datab (955:955:955) (950:950:950)) + (PORT datac (799:799:799) (791:791:791)) + (PORT datad (822:822:822) (778:778:778)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[4\]\~15) + (DELAY + (ABSOLUTE + (PORT dataa (490:490:490) (472:472:472)) + (PORT datad (238:238:238) (256:256:256)) + (IOPATH dataa combout (471:471:471) (481:481:481)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|cnt\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (PORT sclr (1477:1477:1477) (1540:1540:1540)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~0) + (DELAY + (ABSOLUTE + (PORT dataa (597:597:597) (653:653:653)) + (PORT datab (656:656:656) (677:677:677)) + (PORT datac (534:534:534) (564:564:564)) + (PORT datad (523:523:523) (548:548:548)) + (IOPATH dataa combout (461:461:461) (481:481:481)) + (IOPATH datab combout (432:432:432) (433:433:433)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|condition_3\~2) + (DELAY + (ABSOLUTE + (PORT dataa (538:538:538) (502:502:502)) + (PORT datab (904:904:904) (872:872:872)) + (PORT datac (616:616:616) (639:639:639)) + (PORT datad (470:470:470) (442:442:442)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~1) + (DELAY + (ABSOLUTE + (PORT dataa (804:804:804) (747:747:747)) + (PORT datab (361:361:361) (437:437:437)) + (PORT datad (794:794:794) (719:719:719)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[1\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (738:738:738) (668:668:668)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|LessThan0\~0) + (DELAY + (ABSOLUTE + (PORT dataa (875:875:875) (870:870:870)) + (PORT datab (648:648:648) (652:652:652)) + (PORT datac (594:594:594) (617:617:617)) + (PORT datad (554:554:554) (569:569:569)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|LessThan0\~1) + (DELAY + (ABSOLUTE + (PORT dataa (667:667:667) (686:686:686)) + (PORT datab (645:645:645) (658:658:658)) + (PORT datac (1230:1230:1230) (1173:1173:1173)) + (PORT datad (237:237:237) (255:255:255)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (440:440:440) (462:462:462)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg1) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg2) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT asdata (760:760:760) (829:829:829)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1694:1694:1694) (1667:1667:1667)) + (PORT clrn (1887:1887:1887) (1859:1859:1859)) + (PORT sload (1861:1861:1861) (1950:1950:1950)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m\[7\]\~1) + (DELAY + (ABSOLUTE + (PORT datab (1349:1349:1349) (1288:1288:1288)) + (PORT datad (1273:1273:1273) (1222:1222:1222)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|q_m_reg\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (1841:1841:1841) (1854:1854:1854)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1858:1858:1858)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~4) + (DELAY + (ABSOLUTE + (PORT dataa (878:878:878) (825:825:825)) + (PORT datab (954:954:954) (948:948:948)) + (PORT datac (811:811:811) (773:773:773)) + (PORT datad (823:823:823) (778:778:778)) + (IOPATH dataa combout (471:471:471) (481:481:481)) + (IOPATH datab combout (435:435:435) (424:424:424)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[5\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (679:679:679) (607:607:607)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1697:1697:1697) (1671:1671:1671)) + (PORT clrn (1887:1887:1887) (1859:1859:1859)) + (PORT sload (1861:1861:1861) (1950:1950:1950)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|LessThan1\~0) + (DELAY + (ABSOLUTE + (PORT dataa (382:382:382) (476:476:476)) + (PORT datab (396:396:396) (480:480:480)) + (PORT datac (548:548:548) (569:569:569)) + (PORT datad (246:246:246) (271:271:271)) + (IOPATH dataa combout (392:392:392) (407:407:407)) + (IOPATH datab combout (393:393:393) (412:412:412)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg1) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1853:1853:1853)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1857:1857:1857)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg2\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (1396:1396:1396) (1298:1298:1298)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c1_reg2) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~7) + (DELAY + (ABSOLUTE + (PORT dataa (821:821:821) (749:749:749)) + (PORT datab (1282:1282:1282) (1246:1246:1246)) + (PORT datac (1097:1097:1097) (1062:1062:1062)) + (PORT datad (909:909:909) (898:898:898)) + (IOPATH dataa combout (453:453:453) (446:446:446)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[9\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1887:1887:1887) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~4) + (DELAY + (ABSOLUTE + (PORT datab (336:336:336) (412:412:412)) + (PORT datac (1077:1077:1077) (1103:1103:1103)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~3) + (DELAY + (ABSOLUTE + (PORT datab (346:346:346) (429:429:429)) + (PORT datac (1077:1077:1077) (1103:1103:1103)) + (PORT datad (296:296:296) (366:366:366)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~2) + (DELAY + (ABSOLUTE + (PORT datab (347:347:347) (431:431:431)) + (PORT datac (1077:1077:1077) (1103:1103:1103)) + (PORT datad (296:296:296) (366:366:366)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~1) + (DELAY + (ABSOLUTE + (PORT dataa (339:339:339) (423:423:423)) + (PORT datac (1077:1077:1077) (1103:1103:1103)) + (PORT datad (295:295:295) (365:365:365)) + (IOPATH dataa combout (453:453:453) (446:446:446)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\~0) + (DELAY + (ABSOLUTE + (PORT datab (338:338:338) (415:415:415)) + (PORT datac (1078:1078:1078) (1104:1104:1104)) + (PORT datad (298:298:298) (368:368:368)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_fall_s\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1335:1335:1335) (1336:1336:1336)) + (PORT clrn (1886:1886:1886) (1859:1859:1859)) + (PORT sload (1624:1624:1624) (1684:1684:1684)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\~3) + (DELAY + (ABSOLUTE + (PORT dataa (819:819:819) (747:747:747)) + (PORT datab (1284:1284:1284) (1249:1249:1249)) + (PORT datac (1094:1094:1094) (1058:1058:1058)) + (IOPATH dataa combout (453:453:453) (446:446:446)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst0\|data_out\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1887:1887:1887) (1859:1859:1859)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~1) + (DELAY + (ABSOLUTE + (PORT dataa (339:339:339) (423:423:423)) + (PORT datab (337:337:337) (414:414:414)) + (PORT datac (1078:1078:1078) (1104:1104:1104)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\~0) + (DELAY + (ABSOLUTE + (PORT datab (452:452:452) (569:569:569)) + (PORT datac (911:911:911) (904:904:904)) + (PORT datad (893:893:893) (882:882:882)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|data_rise_s\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1842:1842:1842) (1855:1855:1855)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_latch") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi) + (DELAY + (ABSOLUTE + (PORT ENA (1796:1796:1796) (1779:1779:1779)) + (PORT D (1266:1266:1266) (1278:1278:1278)) + (IOPATH (negedge ENA) Q (234:234:234) (234:234:234)) + ) + ) + (TIMINGCHECK + (SETUP D (negedge ENA) (565:565:565)) + (HOLD D (negedge ENA) (126:126:126)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo) + (DELAY + (ABSOLUTE + (PORT clk (1796:1796:1796) (1779:1779:1779)) + (PORT d (972:972:972) (1032:1032:1032)) + (IOPATH (posedge clk) q (234:234:234) (234:234:234)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (109:109:109)) + (HOLD d (posedge clk) (126:126:126)) + ) + ) + (CELL + (CELLTYPE "cycloneive_mux21") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux) + (DELAY + (ABSOLUTE + (PORT A (0:0:0) (0:0:0)) + (PORT B (0:0:0) (0:0:0)) + (PORT S (1969:1969:1969) (1974:1974:1974)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_routing_wire") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay) + (DELAY + (ABSOLUTE + (IOPATH datain dataout (548:548:548) (549:549:549)) + ) + ) + (DELAY + (PATHPULSE datain dataout (548:548:548)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|LessThan17\~2) + (DELAY + (ABSOLUTE + (PORT dataa (1001:1001:1001) (944:944:944)) + (PORT datab (914:914:914) (862:862:862)) + (PORT datac (1186:1186:1186) (1097:1097:1097)) + (IOPATH dataa combout (438:438:438) (448:448:448)) + (IOPATH datab combout (440:440:440) (462:462:462)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\[9\]\~15) + (DELAY + (ABSOLUTE + (PORT dataa (280:280:280) (313:313:313)) + (PORT datab (935:935:935) (877:877:877)) + (PORT datac (1511:1511:1511) (1403:1403:1403)) + (PORT datad (272:272:272) (293:293:293)) + (IOPATH dataa combout (404:404:404) (398:398:398)) + (IOPATH datab combout (435:435:435) (424:424:424)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~35) + (DELAY + (ABSOLUTE + (PORT dataa (289:289:289) (328:328:328)) + (PORT datab (568:568:568) (574:574:574)) + (PORT datac (863:863:863) (822:822:822)) + (PORT datad (246:246:246) (268:268:268)) + (IOPATH dataa combout (453:453:453) (413:413:413)) + (IOPATH datab combout (455:455:455) (412:412:412)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~36) + (DELAY + (ABSOLUTE + (PORT dataa (297:297:297) (342:342:342)) + (PORT datab (921:921:921) (872:872:872)) + (PORT datac (1184:1184:1184) (1094:1094:1094)) + (PORT datad (251:251:251) (282:282:282)) + (IOPATH dataa combout (453:453:453) (413:413:413)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~21) + (DELAY + (ABSOLUTE + (PORT dataa (494:494:494) (489:489:489)) + (PORT datab (497:497:497) (491:491:491)) + (PORT datac (1540:1540:1540) (1407:1407:1407)) + (PORT datad (887:887:887) (833:833:833)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~26) + (DELAY + (ABSOLUTE + (PORT dataa (889:889:889) (853:853:853)) + (PORT datab (842:842:842) (807:807:807)) + (PORT datac (242:242:242) (273:273:273)) + (PORT datad (460:460:460) (440:440:440)) + (IOPATH dataa combout (453:453:453) (446:446:446)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|pix_x\[11\]\~0) + (DELAY + (ABSOLUTE + (PORT datac (1185:1185:1185) (1067:1067:1067)) + (PORT datad (858:858:858) (810:810:810)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~27) + (DELAY + (ABSOLUTE + (PORT dataa (295:295:295) (339:339:339)) + (PORT datab (294:294:294) (328:328:328)) + (PORT datac (237:237:237) (263:263:263)) + (PORT datad (844:844:844) (792:792:792)) + (IOPATH dataa combout (456:456:456) (486:486:486)) + (IOPATH datab combout (457:457:457) (489:489:489)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_pic_inst\|pix_data\[10\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|rgb\[10\]\~2) + (DELAY + (ABSOLUTE + (PORT dataa (828:828:828) (766:766:766)) + (PORT datab (1440:1440:1440) (1301:1301:1301)) + (PORT datac (562:562:562) (583:583:583)) + (PORT datad (1670:1670:1670) (1467:1467:1467)) + (IOPATH dataa combout (392:392:392) (398:398:398)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[7\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~29) + (DELAY + (ABSOLUTE + (PORT dataa (932:932:932) (901:901:901)) + (PORT datab (913:913:913) (862:862:862)) + (PORT datac (1190:1190:1190) (1102:1102:1102)) + (PORT datad (928:928:928) (882:882:882)) + (IOPATH dataa combout (453:453:453) (428:428:428)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~30) + (DELAY + (ABSOLUTE + (PORT dataa (1231:1231:1231) (1143:1143:1143)) + (PORT datab (920:920:920) (872:872:872)) + (PORT datac (1512:1512:1512) (1404:1404:1404)) + (PORT datad (876:876:876) (829:829:829)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~31) + (DELAY + (ABSOLUTE + (PORT datab (275:275:275) (300:300:300)) + (PORT datac (238:238:238) (264:264:264)) + (PORT datad (265:265:265) (283:283:283)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_pic_inst\|pix_data\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|rgb\[6\]\~4) + (DELAY + (ABSOLUTE + (PORT dataa (830:830:830) (768:768:768)) + (PORT datab (1423:1423:1423) (1274:1274:1274)) + (PORT datac (1397:1397:1397) (1261:1261:1261)) + (PORT datad (552:552:552) (571:571:571)) + (IOPATH dataa combout (392:392:392) (398:398:398)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add5\~0) + (DELAY + (ABSOLUTE + (PORT dataa (567:567:567) (605:605:605)) + (PORT datab (745:745:745) (690:690:690)) + (PORT datac (564:564:564) (584:584:584)) + (PORT datad (556:556:556) (575:575:575)) + (IOPATH dataa combout (392:392:392) (398:398:398)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_n1\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~1) + (DELAY + (ABSOLUTE + (PORT dataa (592:592:592) (637:637:637)) + (PORT datab (397:397:397) (498:498:498)) + (PORT datac (354:354:354) (473:473:473)) + (PORT datad (582:582:582) (609:609:609)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~28) + (DELAY + (ABSOLUTE + (PORT dataa (885:885:885) (849:849:849)) + (PORT datab (840:840:840) (805:805:805)) + (PORT datac (247:247:247) (278:278:278)) + (PORT datad (458:458:458) (438:438:438)) + (IOPATH dataa combout (453:453:453) (446:446:446)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_pic_inst\|pix_data\[9\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|rgb\[7\]\~3) + (DELAY + (ABSOLUTE + (PORT dataa (829:829:829) (767:767:767)) + (PORT datab (1423:1423:1423) (1274:1274:1274)) + (PORT datac (1397:1397:1397) (1262:1262:1262)) + (PORT datad (521:521:521) (547:547:547)) + (IOPATH dataa combout (392:392:392) (398:398:398)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_in_reg\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add13\~0) + (DELAY + (ABSOLUTE + (PORT dataa (383:383:383) (477:477:477)) + (PORT datab (395:395:395) (510:510:510)) + (PORT datac (338:338:338) (428:428:428)) + (PORT datad (546:546:546) (561:561:561)) + (IOPATH dataa combout (438:438:438) (448:448:448)) + (IOPATH datab combout (440:440:440) (462:462:462)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add13\~1) + (DELAY + (ABSOLUTE + (PORT datab (397:397:397) (498:498:498)) + (PORT datac (355:355:355) (474:474:474)) + (PORT datad (581:581:581) (608:608:608)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1583:1583:1583) (1534:1534:1534)) + (PORT datab (949:949:949) (926:926:926)) + (PORT datac (807:807:807) (803:803:803)) + (PORT datad (852:852:852) (825:825:825)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~1) + (DELAY + (ABSOLUTE + (PORT dataa (1585:1585:1585) (1537:1537:1537)) + (PORT datab (948:948:948) (925:925:925)) + (PORT datac (808:808:808) (805:805:805)) + (PORT datad (851:851:851) (823:823:823)) + (IOPATH dataa combout (438:438:438) (448:448:448)) + (IOPATH datab combout (440:440:440) (462:462:462)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~2) + (DELAY + (ABSOLUTE + (PORT dataa (589:589:589) (634:634:634)) + (PORT datab (395:395:395) (509:509:509)) + (PORT datac (354:354:354) (458:458:458)) + (PORT datad (585:585:585) (613:613:613)) + (IOPATH dataa combout (456:456:456) (486:486:486)) + (IOPATH datab combout (457:457:457) (489:489:489)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n1\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1838:1838:1838) (1850:1850:1850)) + (PORT asdata (2131:2131:2131) (2021:2021:2021)) + (PORT clrn (1882:1882:1882) (1854:1854:1854)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~0) + (DELAY + (ABSOLUTE + (PORT dataa (898:898:898) (881:881:881)) + (PORT datab (566:566:566) (593:593:593)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add15\~4) + (DELAY + (ABSOLUTE + (PORT dataa (1536:1536:1536) (1494:1494:1494)) + (PORT datab (910:910:910) (899:899:899)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add14\~0) + (DELAY + (ABSOLUTE + (PORT dataa (591:591:591) (636:636:636)) + (PORT datab (397:397:397) (512:512:512)) + (PORT datac (355:355:355) (459:459:459)) + (PORT datad (583:583:583) (611:611:611)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_n0\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add23\~2) + (DELAY + (ABSOLUTE + (PORT dataa (1116:1116:1116) (1072:1072:1072)) + (PORT datab (1849:1849:1849) (1763:1763:1763)) + (IOPATH dataa combout (461:461:461) (486:486:486)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (455:455:455) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~9) + (DELAY + (ABSOLUTE + (PORT dataa (907:907:907) (854:854:854)) + (PORT datab (276:276:276) (300:300:300)) + (PORT datac (449:449:449) (436:436:436)) + (PORT datad (1137:1137:1137) (1033:1033:1033)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~10) + (DELAY + (ABSOLUTE + (PORT dataa (280:280:280) (312:312:312)) + (PORT datab (543:543:543) (502:502:502)) + (PORT datac (239:239:239) (266:266:266)) + (PORT datad (1140:1140:1140) (1036:1036:1036)) + (IOPATH dataa combout (404:404:404) (398:398:398)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[8\]\~0) + (DELAY + (ABSOLUTE + (PORT datac (337:337:337) (427:427:427)) + (IOPATH datac combout (324:324:324) (315:315:315)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~15) + (DELAY + (ABSOLUTE + (PORT dataa (279:279:279) (310:310:310)) + (PORT datab (278:278:278) (303:303:303)) + (PORT datac (822:822:822) (765:765:765)) + (PORT datad (912:912:912) (881:881:881)) + (IOPATH dataa combout (405:405:405) (398:398:398)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\]\~7) + (DELAY + (ABSOLUTE + (PORT dataa (824:824:824) (745:745:745)) + (PORT datab (1547:1547:1547) (1392:1392:1392)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1851:1851:1851)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (PORT sclr (1457:1457:1457) (1514:1514:1514)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal1\~0) + (DELAY + (ABSOLUTE + (PORT dataa (361:361:361) (444:444:444)) + (PORT datab (848:848:848) (817:817:817)) + (PORT datac (318:318:318) (396:396:396)) + (PORT datad (321:321:321) (391:391:391)) + (IOPATH dataa combout (456:456:456) (486:486:486)) + (IOPATH datab combout (457:457:457) (489:489:489)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal1\~1) + (DELAY + (ABSOLUTE + (PORT datab (289:289:289) (319:319:319)) + (PORT datad (329:329:329) (406:406:406)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[0\]\~6) + (DELAY + (ABSOLUTE + (PORT dataa (280:280:280) (312:312:312)) + (PORT datab (278:278:278) (303:303:303)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab cout (565:565:565) (421:421:421)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[1\]\~9) + (DELAY + (ABSOLUTE + (PORT dataa (820:820:820) (745:745:745)) + (PORT datab (472:472:472) (455:455:455)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[2\]\~11) + (DELAY + (ABSOLUTE + (PORT dataa (534:534:534) (493:493:493)) + (PORT datab (751:751:751) (678:678:678)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1851:1851:1851)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (PORT sclr (1457:1457:1457) (1514:1514:1514)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~3) + (DELAY + (ABSOLUTE + (PORT dataa (909:909:909) (855:855:855)) + (PORT datab (277:277:277) (302:302:302)) + (PORT datac (235:235:235) (261:261:261)) + (PORT datad (1138:1138:1138) (1034:1034:1034)) + (IOPATH dataa combout (461:461:461) (481:481:481)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~4) + (DELAY + (ABSOLUTE + (PORT dataa (280:280:280) (312:312:312)) + (PORT datab (277:277:277) (301:301:301)) + (PORT datac (711:711:711) (641:641:641)) + (PORT datad (826:826:826) (772:772:772)) + (IOPATH dataa combout (404:404:404) (398:398:398)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[3\]\~13) + (DELAY + (ABSOLUTE + (PORT dataa (278:278:278) (310:310:310)) + (PORT datab (803:803:803) (726:726:726)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1851:1851:1851)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (PORT sclr (1457:1457:1457) (1514:1514:1514)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Equal2\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1581:1581:1581) (1533:1533:1533)) + (PORT datab (949:949:949) (926:926:926)) + (PORT datac (806:806:806) (803:803:803)) + (PORT datad (853:853:853) (826:826:826)) + (IOPATH dataa combout (461:461:461) (486:486:486)) + (IOPATH datab combout (457:457:457) (489:489:489)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_2) + (DELAY + (ABSOLUTE + (PORT dataa (908:908:908) (889:889:889)) + (PORT datab (368:368:368) (448:448:448)) + (PORT datac (793:793:793) (709:709:709)) + (PORT datad (248:248:248) (275:275:275)) + (IOPATH dataa combout (461:461:461) (481:481:481)) + (IOPATH datab combout (435:435:435) (433:433:433)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[0\]\~0) + (DELAY + (ABSOLUTE + (PORT dataa (972:972:972) (869:869:869)) + (PORT datab (809:809:809) (762:762:762)) + (PORT datad (819:819:819) (803:803:803)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~11) + (DELAY + (ABSOLUTE + (PORT dataa (282:282:282) (314:314:314)) + (PORT datab (277:277:277) (302:302:302)) + (PORT datac (1044:1044:1044) (943:943:943)) + (PORT datad (530:530:530) (505:505:505)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~12) + (DELAY + (ABSOLUTE + (PORT dataa (867:867:867) (798:798:798)) + (PORT datab (950:950:950) (927:927:927)) + (PORT datac (810:810:810) (807:807:807)) + (PORT datad (236:236:236) (254:254:254)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1851:1851:1851)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (PORT sclr (1457:1457:1457) (1514:1514:1514)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add22\~6) + (DELAY + (ABSOLUTE + (PORT datad (591:591:591) (618:618:618)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|Add16\~2) + (DELAY + (ABSOLUTE + (PORT dataa (742:742:742) (679:679:679)) + (PORT datab (792:792:792) (710:710:710)) + (PORT datac (770:770:770) (709:709:709)) + (PORT datad (781:781:781) (721:721:721)) + (IOPATH dataa combout (453:453:453) (446:446:446)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[4\]\~15) + (DELAY + (ABSOLUTE + (PORT dataa (803:803:803) (737:737:737)) + (PORT datad (452:452:452) (430:430:430)) + (IOPATH dataa combout (471:471:471) (481:481:481)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|cnt\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1851:1851:1851)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (PORT sclr (1457:1457:1457) (1514:1514:1514)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|condition_3\~2) + (DELAY + (ABSOLUTE + (PORT dataa (933:933:933) (896:896:896)) + (PORT datab (277:277:277) (302:302:302)) + (PORT datac (237:237:237) (264:264:264)) + (PORT datad (590:590:590) (617:617:617)) + (IOPATH dataa combout (461:461:461) (481:481:481)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|q_m_reg\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT asdata (803:803:803) (884:884:884)) + (PORT clrn (1884:1884:1884) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~1) + (DELAY + (ABSOLUTE + (PORT dataa (380:380:380) (477:477:477)) + (PORT datab (824:824:824) (751:751:751)) + (PORT datad (776:776:776) (716:716:716)) + (IOPATH dataa combout (421:421:421) (418:418:418)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[3\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (780:780:780) (695:695:695)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1719:1719:1719) (1680:1680:1680)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (PORT sload (1425:1425:1425) (1434:1434:1434)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~3) + (DELAY + (ABSOLUTE + (PORT dataa (798:798:798) (777:777:777)) + (PORT datab (808:808:808) (760:760:760)) + (PORT datac (986:986:986) (855:855:855)) + (PORT datad (820:820:820) (803:803:803)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[5\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (238:238:238) (256:256:256)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1722:1722:1722) (1684:1684:1684)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (PORT sload (1425:1425:1425) (1434:1434:1434)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~2) + (DELAY + (ABSOLUTE + (PORT dataa (339:339:339) (422:422:422)) + (PORT datab (336:336:336) (413:413:413)) + (PORT datac (1040:1040:1040) (1056:1056:1056)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~1) + (DELAY + (ABSOLUTE + (PORT datab (342:342:342) (425:425:425)) + (PORT datac (1038:1038:1038) (1054:1054:1054)) + (PORT datad (298:298:298) (368:368:368)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\~0) + (DELAY + (ABSOLUTE + (PORT datab (347:347:347) (430:430:430)) + (PORT datac (1040:1040:1040) (1056:1056:1056)) + (PORT datad (298:298:298) (368:368:368)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_fall_s\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\~7) + (DELAY + (ABSOLUTE + (PORT dataa (987:987:987) (991:991:991)) + (PORT datac (1441:1441:1441) (1373:1373:1373)) + (PORT datad (1255:1255:1255) (1202:1202:1202)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst1\|data_out\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (1846:1846:1846) (1857:1857:1857)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1891:1891:1891) (1861:1861:1861)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~4) + (DELAY + (ABSOLUTE + (PORT datab (336:336:336) (412:412:412)) + (PORT datad (1042:1042:1042) (1073:1073:1073)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1846:1846:1846) (1857:1857:1857)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~3) + (DELAY + (ABSOLUTE + (PORT dataa (348:348:348) (439:439:439)) + (PORT datab (1086:1086:1086) (1121:1121:1121)) + (PORT datac (295:295:295) (373:373:373)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1846:1846:1846) (1857:1857:1857)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~2) + (DELAY + (ABSOLUTE + (PORT dataa (340:340:340) (424:424:424)) + (PORT datab (1086:1086:1086) (1121:1121:1121)) + (PORT datac (296:296:296) (374:374:374)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1846:1846:1846) (1857:1857:1857)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~1) + (DELAY + (ABSOLUTE + (PORT dataa (347:347:347) (438:438:438)) + (PORT datab (1087:1087:1087) (1122:1122:1122)) + (PORT datad (296:296:296) (366:366:366)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1846:1846:1846) (1857:1857:1857)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\~0) + (DELAY + (ABSOLUTE + (PORT dataa (996:996:996) (972:972:972)) + (PORT datab (1087:1087:1087) (1122:1122:1122)) + (PORT datac (296:296:296) (374:374:374)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|data_rise_s\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1846:1846:1846) (1857:1857:1857)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_latch") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi) + (DELAY + (ABSOLUTE + (PORT ENA (1798:1798:1798) (1784:1784:1784)) + (PORT D (882:882:882) (936:936:936)) + (IOPATH (negedge ENA) Q (234:234:234) (234:234:234)) + ) + ) + (TIMINGCHECK + (SETUP D (negedge ENA) (565:565:565)) + (HOLD D (negedge ENA) (126:126:126)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo) + (DELAY + (ABSOLUTE + (PORT clk (1798:1798:1798) (1784:1784:1784)) + (PORT d (1325:1325:1325) (1362:1362:1362)) + (IOPATH (posedge clk) q (234:234:234) (234:234:234)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (109:109:109)) + (HOLD d (posedge clk) (126:126:126)) + ) + ) + (CELL + (CELLTYPE "cycloneive_mux21") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux) + (DELAY + (ABSOLUTE + (PORT A (0:0:0) (0:0:0)) + (PORT B (0:0:0) (0:0:0)) + (PORT S (1971:1971:1971) (1979:1979:1979)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_routing_wire") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay) + (DELAY + (ABSOLUTE + (IOPATH datain dataout (548:548:548) (549:549:549)) + ) + ) + (DELAY + (PATHPULSE datain dataout (548:548:548)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~33) + (DELAY + (ABSOLUTE + (PORT dataa (870:870:870) (831:831:831)) + (PORT datab (293:293:293) (328:328:328)) + (PORT datad (246:246:246) (268:268:268)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_pic_inst\|pix_data\[13\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|rgb\[13\]\~6) + (DELAY + (ABSOLUTE + (PORT dataa (1262:1262:1262) (1169:1169:1169)) + (PORT datab (1497:1497:1497) (1356:1356:1356)) + (PORT datac (305:305:305) (388:388:388)) + (PORT datad (812:812:812) (771:771:771)) + (IOPATH dataa combout (392:392:392) (398:398:398)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_reg\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_pic_inst\|pix_data\~32) + (DELAY + (ABSOLUTE + (PORT dataa (869:869:869) (830:830:830)) + (PORT datab (283:283:283) (314:314:314)) + (PORT datac (857:857:857) (804:804:804)) + (PORT datad (843:843:843) (792:792:792)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE vga_pic_inst\|pix_data\[15\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add6\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1161:1161:1161) (1056:1056:1056)) + (PORT datab (873:873:873) (818:818:818)) + (PORT datac (305:305:305) (389:389:389)) + (PORT datad (532:532:532) (555:555:555)) + (IOPATH dataa combout (392:392:392) (398:398:398)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_n1\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE vga_ctrl_inst\|rgb\[12\]\~5) + (DELAY + (ABSOLUTE + (PORT dataa (1261:1261:1261) (1168:1168:1168)) + (PORT datab (1497:1497:1497) (1356:1356:1356)) + (PORT datac (323:323:323) (402:402:402)) + (PORT datad (812:812:812) (771:771:771)) + (IOPATH dataa combout (392:392:392) (398:398:398)) + (IOPATH datab combout (393:393:393) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_in_reg\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add12\~0) + (DELAY + (ABSOLUTE + (PORT datab (993:993:993) (992:992:992)) + (PORT datac (1027:1027:1027) (1043:1043:1043)) + (PORT datad (960:960:960) (972:972:972)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1893:1893:1893) (1863:1863:1863)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add14\~0) + (DELAY + (ABSOLUTE + (PORT datab (993:993:993) (993:993:993)) + (PORT datac (1028:1028:1028) (1044:1044:1044)) + (PORT datad (960:960:960) (972:972:972)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1893:1893:1893) (1863:1863:1863)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add12\~1) + (DELAY + (ABSOLUTE + (PORT datac (1021:1021:1021) (1035:1035:1035)) + (PORT datad (957:957:957) (969:969:969)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1893:1893:1893) (1863:1863:1863)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~0) + (DELAY + (ABSOLUTE + (PORT dataa (902:902:902) (912:912:912)) + (PORT datab (907:907:907) (896:896:896)) + (PORT datac (894:894:894) (881:881:881)) + (PORT datad (824:824:824) (807:807:807)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[8\]\~0) + (DELAY + (ABSOLUTE + (PORT datac (1027:1027:1027) (1042:1042:1042)) + (IOPATH datac combout (324:324:324) (315:315:315)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1893:1893:1893) (1863:1863:1863)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal2\~0) + (DELAY + (ABSOLUTE + (PORT dataa (903:903:903) (913:913:913)) + (PORT datab (913:913:913) (903:903:903)) + (PORT datac (902:902:902) (890:890:890)) + (PORT datad (821:821:821) (804:804:804)) + (IOPATH dataa combout (456:456:456) (486:486:486)) + (IOPATH datab combout (457:457:457) (489:489:489)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add19\~0) + (DELAY + (ABSOLUTE + (PORT dataa (945:945:945) (902:902:902)) + (PORT datab (862:862:862) (850:850:850)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~5) + (DELAY + (ABSOLUTE + (PORT dataa (278:278:278) (310:310:310)) + (PORT datab (279:279:279) (305:305:305)) + (PORT datac (823:823:823) (779:779:779)) + (PORT datad (770:770:770) (701:701:701)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (407:407:407) (408:408:408)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~6) + (DELAY + (ABSOLUTE + (PORT dataa (883:883:883) (865:865:865)) + (PORT datab (868:868:868) (856:856:856)) + (PORT datac (492:492:492) (462:462:462)) + (PORT datad (835:835:835) (769:769:769)) + (IOPATH dataa combout (421:421:421) (418:418:418)) + (IOPATH datab combout (435:435:435) (424:424:424)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal1\~0) + (DELAY + (ABSOLUTE + (PORT dataa (394:394:394) (480:480:480)) + (PORT datab (374:374:374) (463:463:463)) + (PORT datac (325:325:325) (410:410:410)) + (PORT datad (327:327:327) (404:404:404)) + (IOPATH dataa combout (456:456:456) (486:486:486)) + (IOPATH datab combout (457:457:457) (489:489:489)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Equal1\~1) + (DELAY + (ABSOLUTE + (PORT datac (854:854:854) (825:825:825)) + (PORT datad (726:726:726) (656:656:656)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\]\~6) + (DELAY + (ABSOLUTE + (PORT dataa (1087:1087:1087) (966:966:966)) + (PORT datab (1047:1047:1047) (920:920:920)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab cout (565:565:565) (421:421:421)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\]\~7) + (DELAY + (ABSOLUTE + (PORT dataa (281:281:281) (314:314:314)) + (PORT datab (277:277:277) (302:302:302)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1848:1848:1848) (1858:1858:1858)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1892:1892:1892) (1863:1863:1863)) + (PORT sclr (1798:1798:1798) (1895:1895:1895)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add15\~0) + (DELAY + (ABSOLUTE + (PORT dataa (858:858:858) (844:844:844)) + (PORT datab (376:376:376) (466:466:466)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~15) + (DELAY + (ABSOLUTE + (PORT dataa (848:848:848) (751:751:751)) + (PORT datab (277:277:277) (302:302:302)) + (PORT datac (828:828:828) (818:818:818)) + (PORT datad (834:834:834) (767:767:767)) + (IOPATH dataa combout (405:405:405) (398:398:398)) + (IOPATH datab combout (407:407:407) (408:408:408)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[1\]\~9) + (DELAY + (ABSOLUTE + (PORT dataa (478:478:478) (468:468:468)) + (PORT datab (541:541:541) (503:503:503)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[2\]\~11) + (DELAY + (ABSOLUTE + (PORT dataa (752:752:752) (691:691:691)) + (PORT datab (276:276:276) (301:301:301)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (494:494:494) (496:496:496)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[3\]\~13) + (DELAY + (ABSOLUTE + (PORT dataa (492:492:492) (475:475:475)) + (PORT datab (276:276:276) (301:301:301)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1848:1848:1848) (1858:1858:1858)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1892:1892:1892) (1863:1863:1863)) + (PORT sclr (1798:1798:1798) (1895:1895:1895)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[3\]\~0) + (DELAY + (ABSOLUTE + (PORT datad (237:237:237) (255:255:255)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n0\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1893:1893:1893) (1863:1863:1863)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_2) + (DELAY + (ABSOLUTE + (PORT dataa (797:797:797) (717:717:717)) + (PORT datab (837:837:837) (744:744:744)) + (PORT datac (851:851:851) (822:822:822)) + (PORT datad (551:551:551) (581:581:581)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[0\]\~0) + (DELAY + (ABSOLUTE + (PORT dataa (322:322:322) (366:366:366)) + (PORT datab (608:608:608) (644:644:644)) + (PORT datad (281:281:281) (305:305:305)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (435:435:435) (433:433:433)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~14) + (DELAY + (ABSOLUTE + (PORT dataa (279:279:279) (311:311:311)) + (PORT datab (818:818:818) (775:775:775)) + (PORT datac (821:821:821) (796:796:796)) + (PORT datad (443:443:443) (415:415:415)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (473:473:473) (487:487:487)) + (IOPATH datac combout (324:324:324) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1848:1848:1848) (1858:1858:1858)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1892:1892:1892) (1863:1863:1863)) + (PORT sclr (1798:1798:1798) (1895:1895:1895)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~2) + (DELAY + (ABSOLUTE + (PORT dataa (862:862:862) (850:850:850)) + (PORT datab (949:949:949) (920:920:920)) + (IOPATH dataa combout (461:461:461) (486:486:486)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (455:455:455) (473:473:473)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~2) + (DELAY + (ABSOLUTE + (PORT dataa (860:860:860) (848:848:848)) + (PORT datab (953:953:953) (926:926:926)) + (IOPATH dataa combout (453:453:453) (472:472:472)) + (IOPATH dataa cout (552:552:552) (416:416:416)) + (IOPATH datab combout (473:473:473) (489:489:489)) + (IOPATH datab cout (565:565:565) (421:421:421)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + (IOPATH cin cout (73:73:73) (73:73:73)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~7) + (DELAY + (ABSOLUTE + (PORT dataa (878:878:878) (810:810:810)) + (PORT datab (276:276:276) (301:301:301)) + (PORT datac (238:238:238) (264:264:264)) + (PORT datad (801:801:801) (758:758:758)) + (IOPATH dataa combout (481:481:481) (491:491:491)) + (IOPATH datab combout (472:472:472) (452:452:452)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~8) + (DELAY + (ABSOLUTE + (PORT dataa (848:848:848) (759:759:759)) + (PORT datab (277:277:277) (302:302:302)) + (PORT datac (690:690:690) (636:636:636)) + (PORT datad (835:835:835) (768:768:768)) + (IOPATH dataa combout (404:404:404) (398:398:398)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1848:1848:1848) (1858:1858:1858)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1892:1892:1892) (1863:1863:1863)) + (PORT sclr (1798:1798:1798) (1895:1895:1895)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_n1\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT asdata (1652:1652:1652) (1603:1603:1603)) + (PORT clrn (1893:1893:1893) (1863:1863:1863)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add17\~8) + (DELAY + (ABSOLUTE + (PORT datad (861:861:861) (829:829:829)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add23\~6) + (DELAY + (ABSOLUTE + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~0) + (DELAY + (ABSOLUTE + (PORT dataa (893:893:893) (834:834:834)) + (PORT datab (485:485:485) (466:466:466)) + (PORT datac (746:746:746) (671:671:671)) + (PORT datad (777:777:777) (734:734:734)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add20\~6) + (DELAY + (ABSOLUTE + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|Add16\~1) + (DELAY + (ABSOLUTE + (PORT dataa (810:810:810) (728:728:728)) + (PORT datab (275:275:275) (299:299:299)) + (PORT datac (710:710:710) (638:638:638)) + (PORT datad (777:777:777) (734:734:734)) + (IOPATH dataa combout (392:392:392) (398:398:398)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (324:324:324) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[4\]\~15) + (DELAY + (ABSOLUTE + (PORT dataa (488:488:488) (476:476:476)) + (PORT datad (450:450:450) (428:428:428)) + (IOPATH dataa combout (471:471:471) (481:481:481)) + (IOPATH datad combout (177:177:177) (155:155:155)) + (IOPATH cin combout (607:607:607) (577:577:577)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|cnt\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1848:1848:1848) (1858:1858:1858)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1892:1892:1892) (1863:1863:1863)) + (PORT sclr (1798:1798:1798) (1895:1895:1895)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sclr (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|condition_3\~2) + (DELAY + (ABSOLUTE + (PORT dataa (796:796:796) (720:720:720)) + (PORT datab (783:783:783) (705:705:705)) + (PORT datac (859:859:859) (818:818:818)) + (PORT datad (551:551:551) (580:580:580)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT asdata (1488:1488:1488) (1493:1493:1493)) + (PORT clrn (1893:1893:1893) (1863:1863:1863)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~1) + (DELAY + (ABSOLUTE + (PORT dataa (817:817:817) (763:763:763)) + (PORT datab (877:877:877) (788:788:788)) + (PORT datad (339:339:339) (424:424:424)) + (IOPATH dataa combout (471:471:471) (453:453:453)) + (IOPATH datab combout (472:472:472) (462:462:462)) + (IOPATH datac combout (462:462:462) (482:482:482)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[1\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (855:855:855) (792:792:792)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1846:1846:1846) (1857:1857:1857)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1909:1909:1909) (1833:1833:1833)) + (PORT clrn (1891:1891:1891) (1861:1861:1861)) + (PORT sload (1745:1745:1745) (1782:1782:1782)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m\[7\]\~1) + (DELAY + (ABSOLUTE + (PORT datac (1019:1019:1019) (1033:1033:1033)) + (PORT datad (956:956:956) (968:968:968)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|q_m_reg\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1893:1893:1893) (1863:1863:1863)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~4) + (DELAY + (ABSOLUTE + (PORT dataa (384:384:384) (479:479:479)) + (PORT datab (333:333:333) (409:409:409)) + (PORT datac (772:772:772) (715:715:715)) + (PORT datad (817:817:817) (739:739:739)) + (IOPATH dataa combout (438:438:438) (448:448:448)) + (IOPATH datab combout (472:472:472) (473:473:473)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[5\]\~feeder) + (DELAY + (ABSOLUTE + (PORT datad (765:765:765) (677:677:677)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (1846:1846:1846) (1857:1857:1857)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1909:1909:1909) (1832:1832:1832)) + (PORT clrn (1891:1891:1891) (1861:1861:1861)) + (PORT sload (1745:1745:1745) (1782:1782:1782)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\~7) + (DELAY + (ABSOLUTE + (PORT dataa (641:641:641) (673:673:673)) + (PORT datab (372:372:372) (455:455:455)) + (PORT datac (1255:1255:1255) (1225:1225:1225)) + (PORT datad (859:859:859) (813:813:813)) + (IOPATH dataa combout (461:461:461) (486:486:486)) + (IOPATH datab combout (457:457:457) (489:489:489)) + (IOPATH datac combout (327:327:327) (316:316:316)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[9\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (PORT clrn (1885:1885:1885) (1856:1856:1856)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~4) + (DELAY + (ABSOLUTE + (PORT datab (337:337:337) (414:414:414)) + (PORT datac (1039:1039:1039) (1055:1055:1055)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1840:1840:1840) (1852:1852:1852)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~3) + (DELAY + (ABSOLUTE + (PORT datab (346:346:346) (430:430:430)) + (PORT datac (937:937:937) (927:927:927)) + (PORT datad (1043:1043:1043) (1074:1074:1074)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1846:1846:1846) (1857:1857:1857)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~2) + (DELAY + (ABSOLUTE + (PORT datab (346:346:346) (430:430:430)) + (PORT datac (295:295:295) (373:373:373)) + (PORT datad (1043:1043:1043) (1075:1075:1075)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1846:1846:1846) (1857:1857:1857)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~1) + (DELAY + (ABSOLUTE + (PORT dataa (340:340:340) (424:424:424)) + (PORT datab (1086:1086:1086) (1121:1121:1121)) + (PORT datac (298:298:298) (376:376:376)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datac combout (327:327:327) (316:316:316)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1846:1846:1846) (1857:1857:1857)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\~0) + (DELAY + (ABSOLUTE + (PORT datab (338:338:338) (415:415:415)) + (PORT datac (940:940:940) (953:953:953)) + (PORT datad (296:296:296) (366:366:366)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_fall_s\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1846:1846:1846) (1857:1857:1857)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1999:1999:1999) (1897:1897:1897)) + (PORT clrn (1893:1893:1893) (1863:1863:1863)) + (PORT sload (1763:1763:1763) (1796:1796:1796)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[4\]\~6) + (DELAY + (ABSOLUTE + (PORT dataa (322:322:322) (366:366:366)) + (PORT datab (613:613:613) (648:648:648)) + (PORT datad (281:281:281) (305:305:305)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (435:435:435) (433:433:433)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|c0_reg2\~_wirecell) + (DELAY + (ABSOLUTE + (IOPATH datac combout (462:462:462) (482:482:482)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|encode_inst2\|data_out\[6\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (PORT asdata (1672:1672:1672) (1549:1549:1549)) + (PORT clrn (1893:1893:1893) (1863:1863:1863)) + (PORT sload (1763:1763:1763) (1796:1796:1796)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + (IOPATH (negedge clrn) q (247:247:247) (247:247:247)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + (HOLD sload (posedge clk) (212:212:212)) + (HOLD asdata (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~3) + (DELAY + (ABSOLUTE + (PORT dataa (339:339:339) (423:423:423)) + (PORT datab (338:338:338) (415:415:415)) + (PORT datad (1006:1006:1006) (1025:1025:1025)) + (IOPATH dataa combout (471:471:471) (472:472:472)) + (IOPATH datab combout (410:410:410) (408:408:408)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~2) + (DELAY + (ABSOLUTE + (PORT dataa (340:340:340) (425:425:425)) + (PORT datab (1044:1044:1044) (1065:1065:1065)) + (PORT datad (298:298:298) (368:368:368)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~1) + (DELAY + (ABSOLUTE + (PORT dataa (343:343:343) (428:428:428)) + (PORT datab (1046:1046:1046) (1068:1068:1068)) + (PORT datad (299:299:299) (369:369:369)) + (IOPATH dataa combout (448:448:448) (472:472:472)) + (IOPATH datab combout (454:454:454) (473:473:473)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\~0) + (DELAY + (ABSOLUTE + (PORT datab (337:337:337) (414:414:414)) + (PORT datac (979:979:979) (987:987:987)) + (PORT datad (296:296:296) (366:366:366)) + (IOPATH datab combout (455:455:455) (436:436:436)) + (IOPATH datac combout (327:327:327) (315:315:315)) + (IOPATH datad combout (177:177:177) (155:155:155)) + ) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|data_rise_s\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1849:1849:1849) (1859:1859:1859)) + (PORT d (99:99:99) (115:115:115)) + (IOPATH (posedge clk) q (261:261:261) (261:261:261)) + ) + ) + (TIMINGCHECK + (HOLD d (posedge clk) (212:212:212)) + ) + ) + (CELL + (CELLTYPE "cycloneive_latch") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi) + (DELAY + (ABSOLUTE + (PORT ENA (1800:1800:1800) (1786:1786:1786)) + (PORT D (884:884:884) (939:939:939)) + (IOPATH (negedge ENA) Q (234:234:234) (234:234:234)) + ) + ) + (TIMINGCHECK + (SETUP D (negedge ENA) (565:565:565)) + (HOLD D (negedge ENA) (126:126:126)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo) + (DELAY + (ABSOLUTE + (PORT clk (1800:1800:1800) (1786:1786:1786)) + (PORT d (1354:1354:1354) (1392:1392:1392)) + (IOPATH (posedge clk) q (234:234:234) (234:234:234)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (109:109:109)) + (HOLD d (posedge clk) (126:126:126)) + ) + ) + (CELL + (CELLTYPE "cycloneive_mux21") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux) + (DELAY + (ABSOLUTE + (PORT A (0:0:0) (0:0:0)) + (PORT B (0:0:0) (0:0:0)) + (PORT S (1973:1973:1973) (1981:1981:1981)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_routing_wire") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst0\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay) + (DELAY + (ABSOLUTE + (IOPATH datain dataout (548:548:548) (549:549:549)) + ) + ) + (DELAY + (PATHPULSE datain dataout (548:548:548)) + ) + ) + (CELL + (CELLTYPE "cycloneive_latch") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi) + (DELAY + (ABSOLUTE + (PORT ENA (1796:1796:1796) (1779:1779:1779)) + (PORT D (1231:1231:1231) (1313:1313:1313)) + (IOPATH (negedge ENA) Q (234:234:234) (234:234:234)) + ) + ) + (TIMINGCHECK + (SETUP D (negedge ENA) (565:565:565)) + (HOLD D (negedge ENA) (126:126:126)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo) + (DELAY + (ABSOLUTE + (PORT clk (1796:1796:1796) (1779:1779:1779)) + (PORT d (974:974:974) (1030:1030:1030)) + (IOPATH (posedge clk) q (234:234:234) (234:234:234)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (109:109:109)) + (HOLD d (posedge clk) (126:126:126)) + ) + ) + (CELL + (CELLTYPE "cycloneive_mux21") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux) + (DELAY + (ABSOLUTE + (PORT A (0:0:0) (0:0:0)) + (PORT B (0:0:0) (0:0:0)) + (PORT S (1969:1969:1969) (1974:1974:1974)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_routing_wire") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst0\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay) + (DELAY + (ABSOLUTE + (IOPATH datain dataout (548:548:548) (549:549:549)) + ) + ) + (DELAY + (PATHPULSE datain dataout (548:548:548)) + ) + ) + (CELL + (CELLTYPE "cycloneive_latch") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi) + (DELAY + (ABSOLUTE + (PORT ENA (1798:1798:1798) (1784:1784:1784)) + (PORT D (889:889:889) (929:929:929)) + (IOPATH (negedge ENA) Q (234:234:234) (234:234:234)) + ) + ) + (TIMINGCHECK + (SETUP D (negedge ENA) (565:565:565)) + (HOLD D (negedge ENA) (126:126:126)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo) + (DELAY + (ABSOLUTE + (PORT clk (1798:1798:1798) (1784:1784:1784)) + (PORT d (1304:1304:1304) (1383:1383:1383)) + (IOPATH (posedge clk) q (234:234:234) (234:234:234)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (109:109:109)) + (HOLD d (posedge clk) (126:126:126)) + ) + ) + (CELL + (CELLTYPE "cycloneive_mux21") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux) + (DELAY + (ABSOLUTE + (PORT A (0:0:0) (0:0:0)) + (PORT B (0:0:0) (0:0:0)) + (PORT S (1971:1971:1971) (1979:1979:1979)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_routing_wire") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst1\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay) + (DELAY + (ABSOLUTE + (IOPATH datain dataout (548:548:548) (549:549:549)) + ) + ) + (DELAY + (PATHPULSE datain dataout (548:548:548)) + ) + ) + (CELL + (CELLTYPE "cycloneive_latch") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_hi) + (DELAY + (ABSOLUTE + (PORT ENA (1800:1800:1800) (1786:1786:1786)) + (PORT D (892:892:892) (931:931:931)) + (IOPATH (negedge ENA) Q (234:234:234) (234:234:234)) + ) + ) + (TIMINGCHECK + (SETUP D (negedge ENA) (565:565:565)) + (HOLD D (negedge ENA) (126:126:126)) + ) + ) + (CELL + (CELLTYPE "dffeas") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].ddioreg_lo) + (DELAY + (ABSOLUTE + (PORT clk (1800:1800:1800) (1786:1786:1786)) + (PORT d (1334:1334:1334) (1412:1412:1412)) + (IOPATH (posedge clk) q (234:234:234) (234:234:234)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (109:109:109)) + (HOLD d (posedge clk) (126:126:126)) + ) + ) + (CELL + (CELLTYPE "cycloneive_mux21") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].sel_mux) + (DELAY + (ABSOLUTE + (PORT A (0:0:0) (0:0:0)) + (PORT B (0:0:0) (0:0:0)) + (PORT S (1973:1973:1973) (1981:1981:1981)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_routing_wire") + (INSTANCE hdmi_ctrl_inst\|par_to_ser_inst2\|ddio_out_inst1\|ALTDDIO_OUT_component\|auto_generated\|ddio_outa\[0\].wire_delay) + (DELAY + (ABSOLUTE + (IOPATH datain dataout (548:548:548) (549:549:549)) + ) + ) + (DELAY + (PATHPULSE datain dataout (548:548:548)) + ) + ) +) diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/hdmi/encode.v b/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/hdmi/encode.v new file mode 100644 index 0000000..5fd4449 --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/hdmi/encode.v @@ -0,0 +1,190 @@ +`timescale 1ns/1ns +//////////////////////////////////////////////////////////////////////// +// Author : EmbedFire +// Create Date : 2019/11/01 +// Module Name : encode +// Project Name : hdmi_colorbar +// Target Devices: Altera EP4CE10F17C8N +// Tool Versions : Quartus 13.0 +// Description : 8b转10b编码模块 +// +// Revision : V1.0 +// Additional Comments: +// +// 实验平台: 野火_征途Pro_FPGA开发板 +// 公司 : http://www.embedfire.com +// 论坛 : http://www.firebbs.cn +// 淘宝 : https://fire-stm32.taobao.com +//////////////////////////////////////////////////////////////////////// + + +module encode +( + input wire sys_clk , //时钟信号 + input wire sys_rst_n , //复位信号,低有效 + input wire [7:0] data_in , //输入8bit待编码数据 + input wire c0 , //控制信号c0 + input wire c1 , //控制信号c1 + input wire de , //使能信号 + + output reg [9:0] data_out //输出编码后的10bit数据 +); + +//********************************************************************// +//****************** Parameter and Internal Signal *******************// +//********************************************************************// +//parameter define +parameter DATA_OUT0 = 10'b1101010100, + DATA_OUT1 = 10'b0010101011, + DATA_OUT2 = 10'b0101010100, + DATA_OUT3 = 10'b1010101011; + +//wire define +wire condition_1 ; //条件1 +wire condition_2 ; //条件2 +wire condition_3 ; //条件3 +wire [8:0] q_m ; //第一阶段转换后的9bit数据 + +//reg define +reg [3:0] data_in_n1 ; //待编码数据中1的个数 +reg [7:0] data_in_reg ; //待编码数据打一拍 +reg [3:0] q_m_n1 ; //转换后9bit数据中1的个数 +reg [3:0] q_m_n0 ; //转换后9bit数据中0的个数 +reg [4:0] cnt ; //视差计数器,0-1个数差别,最高位为符号位 +reg de_reg1 ; //使能信号打一拍 +reg de_reg2 ; //使能信号打两拍 +reg c0_reg1 ; //控制信号c0打一拍 +reg c0_reg2 ; //控制信号c0打两拍 +reg c1_reg1 ; //控制信号c1打一拍 +reg c1_reg2 ; //控制信号c1打两拍 +reg [8:0] q_m_reg ; //q_m信号打一拍 + +//********************************************************************// +//***************************** Main Code ****************************// +//********************************************************************// +//data_in_n1:待编码数据中1的个数 +always@(posedge sys_clk or negedge sys_rst_n) + if(sys_rst_n == 1'b0) + data_in_n1 <= 4'd0; + else + data_in_n1 <= data_in[0] + data_in[1] + data_in[2] + + data_in[3] + data_in[4] + data_in[5] + + data_in[6] + data_in[7]; + +//data_in_reg:待编码数据打一拍 +always@(posedge sys_clk or negedge sys_rst_n) + if(sys_rst_n == 1'b0) + data_in_reg <= 8'b0; + else + data_in_reg <= data_in; + +//condition_1:条件1 +assign condition_1 = ((data_in_n1 > 4'd4) || ((data_in_n1 == 4'd4) + && (data_in_reg[0] == 1'b0))); + +//q_m:第一阶段转换后的9bit数据 +assign q_m[0] = data_in_reg[0]; +assign q_m[1] = (condition_1) ? (q_m[0] ^~ data_in_reg[1]) : (q_m[0] ^ data_in_reg[1]); +assign q_m[2] = (condition_1) ? (q_m[1] ^~ data_in_reg[2]) : (q_m[1] ^ data_in_reg[2]); +assign q_m[3] = (condition_1) ? (q_m[2] ^~ data_in_reg[3]) : (q_m[2] ^ data_in_reg[3]); +assign q_m[4] = (condition_1) ? (q_m[3] ^~ data_in_reg[4]) : (q_m[3] ^ data_in_reg[4]); +assign q_m[5] = (condition_1) ? (q_m[4] ^~ data_in_reg[5]) : (q_m[4] ^ data_in_reg[5]); +assign q_m[6] = (condition_1) ? (q_m[5] ^~ data_in_reg[6]) : (q_m[5] ^ data_in_reg[6]); +assign q_m[7] = (condition_1) ? (q_m[6] ^~ data_in_reg[7]) : (q_m[6] ^ data_in_reg[7]); +assign q_m[8] = (condition_1) ? 1'b0 : 1'b1; + +//q_m_n1:转换后9bit数据中1的个数 +//q_m_n0:转换后9bit数据中0的个数 +always@(posedge sys_clk or negedge sys_rst_n) + if(sys_rst_n == 1'b0) + begin + q_m_n1 <= 4'd0; + q_m_n0 <= 4'd0; + end + else + begin + q_m_n1 <= q_m[0] + q_m[1] + q_m[2] + q_m[3] + q_m[4] + q_m[5] + q_m[6] + q_m[7]; + q_m_n0 <= 4'd8 - (q_m[0] + q_m[1] + q_m[2] + q_m[3] + q_m[4] + q_m[5] + q_m[6] + q_m[7]); + end + +//condition_2:条件2 +assign condition_2 = ((cnt == 5'd0) || (q_m_n1 == q_m_n0)); + +//condition_3:条件3 +assign condition_3 = (((~cnt[4] == 1'b1) && (q_m_n1 > q_m_n0)) + || ((cnt[4] == 1'b1) && (q_m_n0 > q_m_n1))); + +//数据打拍,为了各数据同步 +always@(posedge sys_clk or negedge sys_rst_n) + if(sys_rst_n == 1'b0) + begin + de_reg1 <= 1'b0; + de_reg2 <= 1'b0; + c0_reg1 <= 1'b0; + c0_reg2 <= 1'b0; + c1_reg1 <= 1'b0; + c1_reg2 <= 1'b0; + q_m_reg <= 9'b0; + end + else + begin + de_reg1 <= de; + de_reg2 <= de_reg1; + c0_reg1 <= c0; + c0_reg2 <= c0_reg1; + c1_reg1 <= c1; + c1_reg2 <= c1_reg1; + q_m_reg <= q_m; + end + +//data_out:输出编码后的10bit数据 +//cnt:视差计数器,0-1个数差别,最高位为符号位 +always@(posedge sys_clk or negedge sys_rst_n) + if(sys_rst_n == 1'b0) + begin + data_out <= 10'b0; + cnt <= 5'b0; + end + else + begin + if(de_reg2 == 1'b1) + begin + if(condition_2 == 1'b1) + begin + data_out[9] <= ~q_m_reg[8]; + data_out[8] <= q_m_reg[8]; + data_out[7:0] <= (q_m_reg[8]) ? q_m_reg[7:0] : ~q_m_reg[7:0]; + cnt <= (~q_m_reg[8]) ? (cnt + q_m_n0 - q_m_n1) : (cnt + q_m_n1 - q_m_n0); + end + else + begin + if(condition_3 == 1'b1) + begin + data_out[9] <= 1'b1; + data_out[8] <= q_m_reg[8]; + data_out[7:0] <= ~q_m_reg[7:0]; + cnt <= cnt + {q_m_reg[8], 1'b0} + (q_m_n0 - q_m_n1); + end + else + begin + data_out[9] <= 1'b0; + data_out[8] <= q_m_reg[8]; + data_out[7:0] <= q_m_reg[7:0]; + cnt <= cnt - {~q_m_reg[8], 1'b0} + (q_m_n1 - q_m_n0); + end + + end + end + else + begin + case ({c1_reg2, c0_reg2}) + 2'b00: data_out <= DATA_OUT0; + 2'b01: data_out <= DATA_OUT1; + 2'b10: data_out <= DATA_OUT2; + default:data_out <= DATA_OUT3; + endcase + cnt <= 5'b0; + end + end + +endmodule \ No newline at end of file diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/hdmi/hdmi_ctrl.v b/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/hdmi/hdmi_ctrl.v new file mode 100644 index 0000000..d0b2a50 --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/hdmi/hdmi_ctrl.v @@ -0,0 +1,129 @@ +`timescale 1ns/1ns +//////////////////////////////////////////////////////////////////////// +// Author : EmbedFire +// Create Date : 2019/11/01 +// Module Name : hdmi_ctrl +// Project Name : hdmi_colorbar +// Target Devices: Altera EP4CE10F17C8N +// Tool Versions : Quartus 13.0 +// Description : HDMI控制模块 +// +// Revision : V1.0 +// Additional Comments: +// +// 实验平台: 野火_征途Pro_FPGA开发板 +// 公司 : http://www.embedfire.com +// 论坛 : http://www.firebbs.cn +// 淘宝 : https://fire-stm32.taobao.com +//////////////////////////////////////////////////////////////////////// + + +module hdmi_ctrl +( + input wire clk_1x , //输入系统时钟 + input wire clk_5x , //输入5倍系统时钟 + input wire sys_rst_n , //复位信号,低有效 + input wire [7:0] rgb_blue , //蓝色分量 + input wire [7:0] rgb_green , //绿色分量 + input wire [7:0] rgb_red , //红色分量 + input wire hsync , //行同步信号 + input wire vsync , //场同步信号 + input wire de , //使能信号 + + output wire hdmi_clk_p , + output wire hdmi_clk_n , //时钟差分信号 + output wire hdmi_r_p , + output wire hdmi_r_n , //红色分量差分信号 + output wire hdmi_g_p , + output wire hdmi_g_n , //绿色分量差分信号 + output wire hdmi_b_p , + output wire hdmi_b_n //蓝色分量差分信号 +); + +//********************************************************************// +//****************** Parameter and Internal Signal *******************// +//********************************************************************// +wire [9:0] red ; //8b转10b后的红色分量 +wire [9:0] green ; //8b转10b后的绿色分量 +wire [9:0] blue ; //8b转10b后的蓝色分量 + +//********************************************************************// +//**************************** Instantiate ***************************// +//********************************************************************// +//------------- encode_inst0 ------------- +encode encode_inst0 +( + .sys_clk (clk_1x ), + .sys_rst_n (sys_rst_n ), + .data_in (rgb_blue ), + .c0 (hsync ), + .c1 (vsync ), + .de (de ), + .data_out (blue ) +); + +//------------- encode_inst1 ------------- +encode encode_inst1 +( + .sys_clk (clk_1x ), + .sys_rst_n (sys_rst_n ), + .data_in (rgb_green ), + .c0 (hsync ), + .c1 (vsync ), + .de (de ), + .data_out (green ) +); + +//------------- encode_inst2 ------------- +encode encode_inst2 +( + .sys_clk (clk_1x ), + .sys_rst_n (sys_rst_n ), + .data_in (rgb_red ), + .c0 (hsync ), + .c1 (vsync ), + .de (de ), + .data_out (red ) +); + +//------------- par_to_ser_inst0 ------------- +par_to_ser par_to_ser_inst0 +( + .clk_5x (clk_5x ), + .par_data (blue ), + + .ser_data_p (hdmi_b_p ), + .ser_data_n (hdmi_b_n ) +); + +//------------- par_to_ser_inst1 ------------- +par_to_ser par_to_ser_inst1 +( + .clk_5x (clk_5x ), + .par_data (green ), + + .ser_data_p (hdmi_g_p ), + .ser_data_n (hdmi_g_n ) +); + +//------------- par_to_ser_inst2 ------------- +par_to_ser par_to_ser_inst2 +( + .clk_5x (clk_5x ), + .par_data (red ), + + .ser_data_p (hdmi_r_p ), + .ser_data_n (hdmi_r_n ) +); + +//------------- par_to_ser_inst3 ------------- +par_to_ser par_to_ser_inst3 +( + .clk_5x (clk_5x ), + .par_data (10'b1111100000), + + .ser_data_p (hdmi_clk_p ), + .ser_data_n (hdmi_clk_n ) +); + +endmodule diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/hdmi/par_to_ser.v b/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/hdmi/par_to_ser.v new file mode 100644 index 0000000..3d08083 --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/hdmi/par_to_ser.v @@ -0,0 +1,73 @@ +`timescale 1ns/1ns +//////////////////////////////////////////////////////////////////////// +// Author : EmbedFire +// Create Date : 2019/11/01 +// Module Name : par_to_ser +// Project Name : hdmi_colorbar +// Target Devices: Altera EP4CE10F17C8N +// Tool Versions : Quartus 13.0 +// Description : 并行转串行、单端转差分、单沿转双沿 +// +// Revision : V1.0 +// Additional Comments: +// +// 实验平台: 野火_征途Pro_FPGA开发板 +// 公司 : http://www.embedfire.com +// 论坛 : http://www.firebbs.cn +// 淘宝 : https://fire-stm32.taobao.com +//////////////////////////////////////////////////////////////////////// + +module par_to_ser +( + input wire clk_5x , //输入系统时钟 + input wire [9:0] par_data , //输入并行数据 + + output wire ser_data_p , //输出串行差分数据 + output wire ser_data_n //输出串行差分数据 +); + +//********************************************************************// +//****************** Parameter and Internal Signal *******************// +//********************************************************************// +//wire define +wire [4:0] data_rise = {par_data[8],par_data[6], + par_data[4],par_data[2],par_data[0]}; +wire [4:0] data_fall = {par_data[9],par_data[7], + par_data[5],par_data[3],par_data[1]}; + +//reg define +reg [4:0] data_rise_s = 0; +reg [4:0] data_fall_s = 0; +reg [2:0] cnt = 0; + + +always @ (posedge clk_5x) + begin + cnt <= (cnt[2]) ? 3'd0 : cnt + 3'd1; + data_rise_s <= cnt[2] ? data_rise : data_rise_s[4:1]; + data_fall_s <= cnt[2] ? data_fall : data_fall_s[4:1]; + + end + +//********************************************************************// +//**************************** Instantiate ***************************// +//********************************************************************// +//------------- ddio_out_inst0 ------------- +ddio_out ddio_out_inst0 +( + .datain_h (data_rise_s[0] ), + .datain_l (data_fall_s[0] ), + .outclock (~clk_5x ), + .dataout (ser_data_p ) +); + +//------------- ddio_out_inst1 ------------- +ddio_out ddio_out_inst1 +( + .datain_h (~data_rise_s[0]), + .datain_l (~data_fall_s[0]), + .outclock (~clk_5x ), + .dataout (ser_data_n ) +); + +endmodule diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/hdmi_colorbar.v b/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/hdmi_colorbar.v new file mode 100644 index 0000000..861f8b1 --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/hdmi_colorbar.v @@ -0,0 +1,118 @@ +`timescale 1ns/1ns +//////////////////////////////////////////////////////////////////////// +// Author : EmbedFire +// Create Date : 2019/11/01 +// Module Name : hdmi_colorbar +// Project Name : hdmi_colorbar +// Target Devices: Altera EP4CE10F17C8N +// Tool Versions : Quartus 13.0 +// Description : hdmi_colorbar顶层模块 +// +// Revision : V1.0 +// Additional Comments: +// +// 实验平台: 野火_征途Pro_FPGA开发板 +// 公司 : http://www.embedfire.com +// 论坛 : http://www.firebbs.cn +// 淘宝 : https://fire-stm32.taobao.com +//////////////////////////////////////////////////////////////////////// + +module hdmi_colorbar +( + input wire sys_clk , //输入工作时钟,频率50MHz + input wire sys_rst_n , //输入复位信号,低电平有效 + + output wire ddc_scl , + output wire ddc_sda , + output wire tmds_clk_p , + output wire tmds_clk_n , //HDMI时钟差分信号 + output wire [2:0] tmds_data_p , + output wire [2:0] tmds_data_n //HDMI图像差分信号 +); + +//********************************************************************// +//****************** Parameter and Internal Signal *******************// +//********************************************************************// +//wire define +wire vga_clk ; //VGA工作时钟,频率25MHz +wire clk_5x ; +wire locked ; //PLL locked信号 +wire rst_n ; //VGA模块复位信号 +wire [11:0] pix_x ; //VGA有效显示区域X轴坐标 +wire [11:0] pix_y ; //VGA有效显示区域Y轴坐标 +wire [15:0] pix_data; //VGA像素点色彩信息 +wire hsync ; //输出行同步信号 +wire vsync ; //输出场同步信号 +wire [15:0] rgb ; //输出像素信息 +wire rgb_valid; + +//rst_n:VGA模块复位信号 +assign rst_n = (sys_rst_n & locked); +assign ddc_scl = 1'b1; +assign ddc_sda = 1'b1; + +//********************************************************************// +//*************************** Instantiation **************************// +//********************************************************************// + +//------------- clk_gen_inst ------------- +clk_gen clk_gen_inst +( + .areset (~sys_rst_n ), //输入复位信号,高电平有效,1bit + .inclk0 (sys_clk ), //输入50MHz晶振时钟,1bit + + .c0 (vga_clk ), //输出VGA工作时钟,频率25Mhz,1bit + .c1 (clk_5x ), + .locked (locked ) //输出pll locked信号,1bit +); + +//------------- vga_ctrl_inst ------------- +vga_ctrl vga_ctrl_inst +( + .vga_clk (vga_clk ), //输入工作时钟,频率25MHz,1bit + .sys_rst_n (rst_n ), //输入复位信号,低电平有效,1bit + .pix_data (pix_data ), //输入像素点色彩信息,16bit + + .pix_x (pix_x ), //输出VGA有效显示区域像素点X轴坐标,10bit + .pix_y (pix_y ), //输出VGA有效显示区域像素点Y轴坐标,10bit + .hsync (hsync ), //输出行同步信号,1bit + .vsync (vsync ), //输出场同步信号,1bit + .rgb_valid (rgb_valid ), + .rgb (rgb ) //输出像素点色彩信息,16bit +); + +//------------- vga_pic_inst ------------- +vga_pic vga_pic_inst +( + .vga_clk (vga_clk ), //输入工作时钟,频率25MHz,1bit + .sys_rst_n (rst_n ), //输入复位信号,低电平有效,1bit + .pix_x (pix_x ), //输入VGA有效显示区域像素点X轴坐标,10bit + .pix_y (pix_y ), //输入VGA有效显示区域像素点Y轴坐标,10bit + + .pix_data (pix_data ) //输出像素点色彩信息,16bit + +); + +//------------- hdmi_ctrl_inst ------------- +hdmi_ctrl hdmi_ctrl_inst +( + .clk_1x (vga_clk ), //输入系统时钟 + .clk_5x (clk_5x ), //输入5倍系统时钟 + .sys_rst_n (rst_n ), //复位信号,低有效 + .rgb_blue ({rgb[4:0],3'b0} ), //蓝色分量 + .rgb_green ({rgb[10:5],2'b0} ), //绿色分量 + .rgb_red ({rgb[15:11],3'b0} ), //红色分量 + .hsync (hsync ), //行同步信号 + .vsync (vsync ), //场同步信号 + .de (rgb_valid ), //使能信号 + .hdmi_clk_p (tmds_clk_p ), + .hdmi_clk_n (tmds_clk_n ), //时钟差分信号 + .hdmi_r_p (tmds_data_p[2] ), + .hdmi_r_n (tmds_data_n[2] ), //红色分量差分信号 + .hdmi_g_p (tmds_data_p[1] ), + .hdmi_g_n (tmds_data_n[1] ), //绿色分量差分信号 + .hdmi_b_p (tmds_data_p[0] ), + .hdmi_b_n (tmds_data_n[0] ) //蓝色分量差分信号 +); + +endmodule diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/vga_ctrl.v b/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/vga_ctrl.v new file mode 100644 index 0000000..d6b6ed1 --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/vga_ctrl.v @@ -0,0 +1,113 @@ +`timescale 1ns/1ns +//////////////////////////////////////////////////////////////////////// +// Author : EmbedFire +// Create Date : 2019/03/12 +// Module Name : vga_ctrl +// Project Name : hdmi_colorbar +// Target Devices: Altera EP4CE10F17C8N +// Tool Versions : Quartus 13.0 +// Description : VGA控制模块 +// +// Revision : V1.0 +// Additional Comments: +// +// 实验平台: 野火_征途Pro_FPGA开发板 +// 公司 : http://www.embedfire.com +// 论坛 : http://www.firebbs.cn +// 淘宝 : https://fire-stm32.taobao.com +//////////////////////////////////////////////////////////////////////// + +module vga_ctrl +( + input wire vga_clk , //输入工作时钟,频率25MHz + input wire sys_rst_n , //输入复位信号,低电平有效 + input wire [15:0] pix_data , //输入像素点色彩信息 + + output wire [11:0] pix_x , //输出VGA有效显示区域像素点X轴坐标 + output wire [11:0] pix_y , //输出VGA有效显示区域像素点Y轴坐标 + output wire hsync , //输出行同步信号 + output wire vsync , //输出场同步信号 + output wire rgb_valid , + output wire [15:0] rgb //输出像素点色彩信息 +); + +//********************************************************************// +//****************** Parameter and Internal Signal *******************// +//********************************************************************// +//parameter define +parameter H_SYNC = 10'd96 , //行同步 + H_BACK = 10'd40 , //行时序后沿 + H_LEFT = 10'd8 , //行时序左边框 + H_VALID = 10'd640 , //行有效数据 + H_RIGHT = 10'd8 , //行时序右边框 + H_FRONT = 10'd8 , //行时序前沿 + H_TOTAL = 10'd800 ; //行扫描周期 +parameter V_SYNC = 10'd2 , //场同步 + V_BACK = 10'd25 , //场时序后沿 + V_TOP = 10'd8 , //场时序上边框 + V_VALID = 10'd480 , //场有效数据 + V_BOTTOM = 10'd8 , //场时序下边框 + V_FRONT = 10'd2 , //场时序前沿 + V_TOTAL = 10'd525 ; //场扫描周期 + +//wire define +wire pix_data_req ; //像素点色彩信息请求信号 + +//reg define +reg [11:0] cnt_h ; //行同步信号计数器 +reg [11:0] cnt_v ; //场同步信号计数器 + +//********************************************************************// +//***************************** Main Code ****************************// +//********************************************************************// + +//cnt_h:行同步信号计数器 +always@(posedge vga_clk or negedge sys_rst_n) + if(sys_rst_n == 1'b0) + cnt_h <= 12'd0 ; + else if(cnt_h == H_TOTAL - 1'd1) + cnt_h <= 12'd0 ; + else + cnt_h <= cnt_h + 1'd1 ; + +//hsync:行同步信号 +assign hsync = (cnt_h <= H_SYNC - 1'd1) ? 1'b1 : 1'b0 ; + +//cnt_v:场同步信号计数器 +always@(posedge vga_clk or negedge sys_rst_n) + if(sys_rst_n == 1'b0) + cnt_v <= 12'd0 ; + else if((cnt_v == V_TOTAL - 1'd1) && (cnt_h == H_TOTAL-1'd1)) + cnt_v <= 12'd0 ; + else if(cnt_h == H_TOTAL - 1'd1) + cnt_v <= cnt_v + 1'd1 ; + else + cnt_v <= cnt_v ; + +//vsync:场同步信号 +assign vsync = (cnt_v <= V_SYNC - 1'd1) ? 1'b1 : 1'b0 ; + +//rgb_valid:VGA有效显示区域 +assign rgb_valid = (((cnt_h >= H_SYNC + H_BACK + H_LEFT) + && (cnt_h < H_SYNC + H_BACK + H_LEFT + H_VALID)) + &&((cnt_v >= V_SYNC + V_BACK + V_TOP) + && (cnt_v < V_SYNC + V_BACK + V_TOP + V_VALID))) + ? 1'b1 : 1'b0; + +//pix_data_req:像素点色彩信息请求信号,超前rgb_valid信号一个时钟周期 +assign pix_data_req = (((cnt_h >= H_SYNC + H_BACK + H_LEFT - 1'b1) + && (cnt_h < H_SYNC + H_BACK + H_LEFT + H_VALID - 1'b1)) + &&((cnt_v >= V_SYNC + V_BACK + V_TOP) + && (cnt_v < V_SYNC + V_BACK + V_TOP + V_VALID))) + ? 1'b1 : 1'b0; + +//pix_x,pix_y:VGA有效显示区域像素点坐标 +assign pix_x = (pix_data_req == 1'b1) + ? (cnt_h - (H_SYNC + H_BACK + H_LEFT - 1'b1)) : 12'hfff; +assign pix_y = (pix_data_req == 1'b1) + ? (cnt_v - (V_SYNC + V_BACK + V_TOP)) : 12'hfff; + +//rgb:输出像素点色彩信息 +assign rgb = (rgb_valid == 1'b1) ? pix_data : 16'b0 ; + +endmodule diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/vga_pic.v b/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/vga_pic.v new file mode 100644 index 0000000..05965da --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/rtl/vga_pic.v @@ -0,0 +1,78 @@ +`timescale 1ns/1ns +//////////////////////////////////////////////////////////////////////// +// Author : EmbedFire +// Create Date : 2019/03/12 +// Module Name : vga_pic +// Project Name : hdmi_colorbar +// Target Devices: Altera EP4CE10F17C8N +// Tool Versions : Quartus 13.0 +// Description : 图像数据生成模块 +// +// Revision : V1.0 +// Additional Comments: +// +// 实验平台: 野火_征途Pro_FPGA开发板 +// 公司 : http://www.embedfire.com +// 论坛 : http://www.firebbs.cn +// 淘宝 : https://fire-stm32.taobao.com +//////////////////////////////////////////////////////////////////////// + +module vga_pic +( + input wire vga_clk , //输入工作时钟,频率25MHz + input wire sys_rst_n , //输入复位信号,低电平有效 + input wire [11:0] pix_x , //输入VGA有效显示区域像素点X轴坐标 + input wire [11:0] pix_y , //输入VGA有效显示区域像素点Y轴坐标 + + output reg [15:0] pix_data //输出像素点色彩信息 +); + +//********************************************************************// +//****************** Parameter and Internal Signal *******************// +//********************************************************************// +//parameter define +parameter H_VALID = 12'd640 , //行有效数据 + V_VALID = 12'd480 ; //场有效数据 + +parameter RED = 16'hF800, //红色 + ORANGE = 16'hFC00, //橙色 + YELLOW = 16'hFFE0, //黄色 + GREEN = 16'h07E0, //绿色 + CYAN = 16'h07FF, //青色 + BLUE = 16'h001F, //蓝色 + PURPPLE = 16'hF81F, //紫色 + BLACK = 16'h0000, //黑色 + WHITE = 16'hFFFF, //白色 + GRAY = 16'hD69A; //灰色 + +//********************************************************************// +//***************************** Main Code ****************************// +//********************************************************************// +//pix_data:输出像素点色彩信息,根据当前像素点坐标指定当前像素点颜色数据 +always@(posedge vga_clk or negedge sys_rst_n) + if(sys_rst_n == 1'b0) + pix_data <= 16'd0; + else if((pix_x >= 0) && (pix_x < (H_VALID/10)*1)) + pix_data <= RED; + else if((pix_x >= (H_VALID/10)*1) && (pix_x < (H_VALID/10)*2)) + pix_data <= ORANGE; + else if((pix_x >= (H_VALID/10)*2) && (pix_x < (H_VALID/10)*3)) + pix_data <= YELLOW; + else if((pix_x >= (H_VALID/10)*3) && (pix_x < (H_VALID/10)*4)) + pix_data <= GREEN; + else if((pix_x >= (H_VALID/10)*4) && (pix_x < (H_VALID/10)*5)) + pix_data <= CYAN; + else if((pix_x >= (H_VALID/10)*5) && (pix_x < (H_VALID/10)*6)) + pix_data <= BLUE; + else if((pix_x >= (H_VALID/10)*6) && (pix_x < (H_VALID/10)*7)) + pix_data <= PURPPLE; + else if((pix_x >= (H_VALID/10)*7) && (pix_x < (H_VALID/10)*8)) + pix_data <= BLACK; + else if((pix_x >= (H_VALID/10)*8) && (pix_x < (H_VALID/10)*9)) + pix_data <= WHITE; + else if((pix_x >= (H_VALID/10)*9) && (pix_x < H_VALID)) + pix_data <= GRAY; + else + pix_data <= BLACK; + +endmodule diff --git a/smh-ac415-fpga/examples/07_hdmi/hdmi/sim/tb_hdmi_colorbar.v b/smh-ac415-fpga/examples/07_hdmi/hdmi/sim/tb_hdmi_colorbar.v new file mode 100644 index 0000000..77885f8 --- /dev/null +++ b/smh-ac415-fpga/examples/07_hdmi/hdmi/sim/tb_hdmi_colorbar.v @@ -0,0 +1,72 @@ +`timescale 1ns/1ns +//////////////////////////////////////////////////////////////////////// +// Author : EmbedFire +// Create Date : 2019/11/01 +// Module Name : tb_hdmi_colorbar +// Project Name : hdmi_colorbar +// Target Devices: Altera EP4CE10F17C8N +// Tool Versions : Quartus 13.0 +// Description : hdmi_colorbar仿真文件 +// +// Revision : V1.0 +// Additional Comments: +// +// 实验平台: 野火_征途Pro_FPGA开发板 +// 公司 : http://www.embedfire.com +// 论坛 : http://www.firebbs.cn +// 淘宝 : https://fire-stm32.taobao.com +//////////////////////////////////////////////////////////////////////// + + +module tb_hdmi_colorbar(); +//********************************************************************// +//****************** Parameter and Internal Signal *******************// +//********************************************************************// +//wire define +wire ddc_scl ; +wire ddc_sda ; +wire tmds_clk_p ; +wire tmds_clk_n ; +wire [2:0] tmds_data_p ; +wire [2:0] tmds_data_n ; + +//reg define +reg sys_clk ; +reg sys_rst_n ; + +//********************************************************************// +//**************************** Clk And Rst ***************************// +//********************************************************************// + +//sys_clk,sys_rst_n初始赋值 +initial + begin + sys_clk = 1'b1; + sys_rst_n <= 1'b0; + #200 + sys_rst_n <= 1'b1; + end + +//sys_clk:产生时钟 +always #10 sys_clk = ~sys_clk ; + +//********************************************************************// +//*************************** Instantiation **************************// +//********************************************************************// + +//------------- hdmi_colorbar_inst ------------- +hdmi_colorbar hdmi_colorbar_inst +( + .sys_clk (sys_clk ), //输入工作时钟,频率50MHz + .sys_rst_n (sys_rst_n ), //输入复位信号,低电平有效 + + .ddc_scl (ddc_scl ), + .ddc_sda (ddc_sda ), + .tmds_clk_p (tmds_clk_p ), + .tmds_clk_n (tmds_clk_n ), //HDMI时钟差分信号 + .tmds_data_p (tmds_data_p), + .tmds_data_n (tmds_data_n) //HDMI图像差分信号 +); + +endmodule + -- cgit v1.2.3