aboutsummaryrefslogtreecommitdiffstats
path: root/target/linux/ath79/dts/qca9557_iodata_wn-ac1600dgr.dts
blob: 12da5a24f84493227b901286edd93dadd2ff0701 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
// SPDX-License-Identifier: GPL-2.0-or-later OR MIT
/dts-v1/;

#include "qca9557_iodata_wn-ac-dgr.dtsi"

/ {
	compatible = "iodata,wn-ac1600dgr", "qca,qca9557";
	model = "I-O DATA WN-AC1600DGR";
};

&leds {
	function {
		label = "iodata:green:function";
		gpios = <&gpio 2 GPIO_ACTIVE_LOW>;
	};
};

&keys {
	function {
		label = "function";
		gpios = <&gpio 15 GPIO_ACTIVE_LOW>;
		linux,code = <BTN_1>;
		debounce-interval = <60>;
	};
};