From 02026d0a6f2e4b939178219a703158cd7b9d658a Mon Sep 17 00:00:00 2001 From: Rui Salvaterra Date: Wed, 27 Oct 2021 11:10:45 +0100 Subject: kernel: bump 5.10 to 5.10.76 Deleted (upstreamed): bcm27xx/patches-5.10/950-0145-xhci-add-quirk-for-host-controllers-that-don-t-updat.patch [1] Manually rebased: bcm27xx/patches-5.10/950-0355-xhci-quirks-add-link-TRB-quirk-for-VL805.patch bcm53xx/patches-5.10/180-usb-xhci-add-support-for-performing-fake-doorbell.patch Note: although automatically rebaseable, the last patch has been edited to avoid conflicting bit definitions. [1] https://git.kernel.org/pub/scm/linux/kernel/git/stable/linux.git/commit/?h=linux-5.10.y&id=b6f32897af190d4716412e156ee0abcc16e4f1e5 Signed-off-by: Rui Salvaterra --- .../764-net-bridge-switchdev-Send-FDB-notifications-for-host.patch | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) (limited to 'target/linux/generic/pending-5.10/764-net-bridge-switchdev-Send-FDB-notifications-for-host.patch') diff --git a/target/linux/generic/pending-5.10/764-net-bridge-switchdev-Send-FDB-notifications-for-host.patch b/target/linux/generic/pending-5.10/764-net-bridge-switchdev-Send-FDB-notifications-for-host.patch index 0e773888df..630e03bbfd 100644 --- a/target/linux/generic/pending-5.10/764-net-bridge-switchdev-Send-FDB-notifications-for-host.patch +++ b/target/linux/generic/pending-5.10/764-net-bridge-switchdev-Send-FDB-notifications-for-host.patch @@ -37,7 +37,7 @@ Signed-off-by: Tobias Waldekranz if (skb == NULL) --- a/net/bridge/br_private.h +++ b/net/bridge/br_private.h -@@ -1527,8 +1527,8 @@ bool nbp_switchdev_allowed_egress(const +@@ -1525,8 +1525,8 @@ bool nbp_switchdev_allowed_egress(const int br_switchdev_set_port_flag(struct net_bridge_port *p, unsigned long flags, unsigned long mask); @@ -48,7 +48,7 @@ Signed-off-by: Tobias Waldekranz int br_switchdev_port_vlan_add(struct net_device *dev, u16 vid, u16 flags, struct netlink_ext_ack *extack); int br_switchdev_port_vlan_del(struct net_device *dev, u16 vid); -@@ -1574,7 +1574,8 @@ static inline int br_switchdev_port_vlan +@@ -1572,7 +1572,8 @@ static inline int br_switchdev_port_vlan } static inline void -- cgit v1.2.3