From bed62530c92ba315e2c4b15321693d58674defa6 Mon Sep 17 00:00:00 2001 From: Gabor Juhos Date: Fri, 14 Dec 2012 12:11:41 +0000 Subject: generic: disintegrate UAPI from include/linux/switch.h Signed-off-by: Gabor Juhos git-svn-id: svn://svn.openwrt.org/openwrt/trunk@34677 3c298f89-4303-0410-b956-a3cf2f4a3e73 --- target/linux/generic/patches-3.7/700-swconfig.patch | 10 ++++++++++ 1 file changed, 10 insertions(+) (limited to 'target/linux/generic/patches-3.7') diff --git a/target/linux/generic/patches-3.7/700-swconfig.patch b/target/linux/generic/patches-3.7/700-swconfig.patch index 0a5b76eb3b..7c92d34cb7 100644 --- a/target/linux/generic/patches-3.7/700-swconfig.patch +++ b/target/linux/generic/patches-3.7/700-swconfig.patch @@ -27,3 +27,13 @@ obj-$(CONFIG_MARVELL_PHY) += marvell.o obj-$(CONFIG_DAVICOM_PHY) += davicom.o obj-$(CONFIG_CICADA_PHY) += cicada.o +--- a/include/uapi/linux/Kbuild ++++ b/include/uapi/linux/Kbuild +@@ -355,6 +355,7 @@ header-y += stddef.h + header-y += string.h + header-y += suspend_ioctls.h + header-y += swab.h ++header-y += switch.h + header-y += synclink.h + header-y += sysctl.h + header-y += sysinfo.h -- cgit v1.2.3