aboutsummaryrefslogtreecommitdiffstats
path: root/target/linux/ar7/base-files/etc/diag.sh
Commit message (Collapse)AuthorAgeFilesLines
* ar7: migrate to common led helper functionsFlorian Fainelli2013-02-181-33/+27
| | | | | | | Signed-off-by: Michael Heimpold <mhei@heimpold.de> Signed-off-by: Florian Fainelli <florian@openwrt.org> SVN-Revision: 35659
* use the second available LED to encore mode boot statesFlorian Fainelli2012-10-131-6/+20
| | | | | | Patch from Daniel Gimpelevich. SVN-Revision: 33749
* base-files: diag.sh: added failsafe led definitionDaniel Dickinson2011-07-071-1/+9
| | | | SVN-Revision: 27502
* Minor patch to the AR7 diag.sh to make the status LED a bit more useful. ↵Felix Fietkau2007-12-071-13/+20
| | | | | | | | | | | | | | | From the patch: This setup gives us 3.5 distinguishable states: - Solid OFF: Bootloader running, or kernel hung (timer task stalled) - Solid ON: Kernel hung (timer task stalled) - 5Hz blink: preinit - Heartbeat: normal operation Signed-off-by: oliver@opencloud.com SVN-Revision: 9666
* we are using leds-gpio nowEugene Konev2007-10-111-2/+2
| | | | SVN-Revision: 9252
* get rid of per-profile base-filesGabor Juhos2007-09-291-0/+19
SVN-Revision: 9069