aboutsummaryrefslogtreecommitdiffstats
path: root/target/linux/rb532/patches-2.6.28/018-korina_adjust_headroom.patch
diff options
context:
space:
mode:
authorFlorian Fainelli <florian@openwrt.org>2009-03-15 12:56:39 +0000
committerFlorian Fainelli <florian@openwrt.org>2009-03-15 12:56:39 +0000
commit9fd0e3c878fcba180278f355efdce6d44a3386e0 (patch)
tree66f5f78c1b6ca8383418b4cd3f5444c4f4716459 /target/linux/rb532/patches-2.6.28/018-korina_adjust_headroom.patch
parent7d2a736b8939efa0082b5efedcb7428fa39f83a8 (diff)
downloadupstream-9fd0e3c878fcba180278f355efdce6d44a3386e0.tar.gz
upstream-9fd0e3c878fcba180278f355efdce6d44a3386e0.tar.bz2
upstream-9fd0e3c878fcba180278f355efdce6d44a3386e0.zip
[rb532] add 2.6.28 patches and kernel config
git-svn-id: svn://svn.openwrt.org/openwrt/trunk@14884 3c298f89-4303-0410-b956-a3cf2f4a3e73
Diffstat (limited to 'target/linux/rb532/patches-2.6.28/018-korina_adjust_headroom.patch')
-rw-r--r--target/linux/rb532/patches-2.6.28/018-korina_adjust_headroom.patch28
1 files changed, 28 insertions, 0 deletions
diff --git a/target/linux/rb532/patches-2.6.28/018-korina_adjust_headroom.patch b/target/linux/rb532/patches-2.6.28/018-korina_adjust_headroom.patch
new file mode 100644
index 0000000000..f9f8daccc0
--- /dev/null
+++ b/target/linux/rb532/patches-2.6.28/018-korina_adjust_headroom.patch
@@ -0,0 +1,28 @@
+This is copy and paste from the original driver. As skb_reserve() is
+also called within korina_alloc_ring() when initially allocating the
+receive descriptors, the same should be done when allocating new space
+after passing an skb to upper layers.
+
+Signed-off-by: Phil Sutter <n0-1@freewrt.org>
+---
+ drivers/net/korina.c | 3 +++
+ 1 files changed, 3 insertions(+), 0 deletions(-)
+
+diff --git a/drivers/net/korina.c b/drivers/net/korina.c
+index 67fbdf4..60ae7bf 100644
+--- a/drivers/net/korina.c
++++ b/drivers/net/korina.c
+@@ -416,6 +416,9 @@ static int korina_rx(struct net_device *dev, int limit)
+ if (devcs & ETH_RX_MP)
+ dev->stats.multicast++;
+
++ /* 16 bit align */
++ skb_reserve(skb_new, 2);
++
+ lp->rx_skb[lp->rx_next_done] = skb_new;
+ }
+
+--
+1.5.6.4
+
+