aboutsummaryrefslogtreecommitdiffstats
path: root/target/linux/apm821xx/patches-4.4/012-dmaengine-Add-transfer-termination-synchronization-s.patch
diff options
context:
space:
mode:
authorHauke Mehrtens <hauke@hauke-m.de>2018-05-30 21:39:51 +0200
committerHauke Mehrtens <hauke@hauke-m.de>2018-06-02 15:13:12 +0200
commit2328977fed81cf2fc367d8eea2f9bf04e51a761f (patch)
treeb6e2e89fcc861cf7fdba5e2979d7458ce912a348 /target/linux/apm821xx/patches-4.4/012-dmaengine-Add-transfer-termination-synchronization-s.patch
parent6e47c22268d5c89971950052aceda03810c88c75 (diff)
downloadupstream-2328977fed81cf2fc367d8eea2f9bf04e51a761f.tar.gz
upstream-2328977fed81cf2fc367d8eea2f9bf04e51a761f.tar.bz2
upstream-2328977fed81cf2fc367d8eea2f9bf04e51a761f.zip
kernel: bump kernel 4.4 to 4.4.135 for 17.01
* Refreshed patches Signed-off-by: Hauke Mehrtens <hauke@hauke-m.de>
Diffstat (limited to 'target/linux/apm821xx/patches-4.4/012-dmaengine-Add-transfer-termination-synchronization-s.patch')
-rw-r--r--target/linux/apm821xx/patches-4.4/012-dmaengine-Add-transfer-termination-synchronization-s.patch4
1 files changed, 2 insertions, 2 deletions
diff --git a/target/linux/apm821xx/patches-4.4/012-dmaengine-Add-transfer-termination-synchronization-s.patch b/target/linux/apm821xx/patches-4.4/012-dmaengine-Add-transfer-termination-synchronization-s.patch
index 21efb046c2..e5df425947 100644
--- a/target/linux/apm821xx/patches-4.4/012-dmaengine-Add-transfer-termination-synchronization-s.patch
+++ b/target/linux/apm821xx/patches-4.4/012-dmaengine-Add-transfer-termination-synchronization-s.patch
@@ -179,7 +179,7 @@ Signed-off-by: Vinod Koul <vinod.koul@intel.com>
enum dma_status (*device_tx_status)(struct dma_chan *chan,
dma_cookie_t cookie,
-@@ -856,6 +859,13 @@ static inline struct dma_async_tx_descri
+@@ -874,6 +877,13 @@ static inline struct dma_async_tx_descri
src_sg, src_nents, flags);
}
@@ -193,7 +193,7 @@ Signed-off-by: Vinod Koul <vinod.koul@intel.com>
static inline int dmaengine_terminate_all(struct dma_chan *chan)
{
if (chan->device->device_terminate_all)
-@@ -864,6 +874,86 @@ static inline int dmaengine_terminate_al
+@@ -882,6 +892,86 @@ static inline int dmaengine_terminate_al
return -ENOSYS;
}