From 0d442a08b6c84f84088d6d3f1ef7bb5feeb42c0d Mon Sep 17 00:00:00 2001 From: Felix Fietkau Date: Wed, 10 Jun 2009 14:37:46 +0000 Subject: enable ucitrigger by default SVN-Revision: 16403 --- include/target.mk | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) (limited to 'include/target.mk') diff --git a/include/target.mk b/include/target.mk index 1f28fbaa00..8769c30575 100644 --- a/include/target.mk +++ b/include/target.mk @@ -12,7 +12,7 @@ __target_inc=1 DEVICE_TYPE?=router # Default packages - the really basic set -DEFAULT_PACKAGES:=base-files libc libgcc busybox dropbear mtd uci opkg +DEFAULT_PACKAGES:=base-files libc libgcc busybox dropbear mtd uci opkg ucitrigger # For router targets DEFAULT_PACKAGES.router:=dnsmasq iptables ppp ppp-mod-pppoe kmod-ipt-nathelper firewall DEFAULT_PACKAGES.bootloader:= -- cgit v1.2.3