summaryrefslogtreecommitdiffstats
path: root/detector.vhd
blob: c97ecd3b25c804a963d117a9d4fae6cd94cd6598 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.std_logic_unsigned.all;
use IEEE.numeric_std.all;

entity detector is
    port (
        clk_in        : in  std_logic;
        spdif_in      : in  std_logic;
        n_reset       : in  std_logic;
        divisor       : in  integer;
        silent_thresh : in  integer;
        valid_divisor : in  integer;
        valid_thresh  : in  integer;
        mute          : out std_logic;
        dbg           : out std_logic_vector(7 downto 0)
        );
end detector;


architecture rtl of detector is
    component ccd is
        port
            (
                n_reset : in  std_logic;
                clk     : in  std_logic;
                d       : in  std_logic;
                q       : out std_logic
                );
    end component;

    component divider is
        port
            (
                divisor : in  integer;
                clk     : in  std_logic;
                n_reset : in  std_logic;
                clk_out : out std_logic
                );
    end component;

    component spdif_decoder is
        port (
            n_reset : in std_logic;
            spdif   : in std_logic;
            clk     : in std_logic;

            bmc_ready : out std_logic;
            bmc_e     : out std_logic;
            bmc_l     : out std_logic;
            bmc_d     : out std_logic;

            d     : out std_logic_vector(26 downto 0);
            ready : out std_logic;
            sof   : out std_logic;
            bna   : out std_logic;
            sos   : out std_logic
            );





    end component;


    component silence_detector is
        port
            (
                silent_thresh : in integer;
                valid_thresh  : in integer;
                valid_divisor : in integer;

                clk     : in  std_logic;
                sos     : in  std_logic;
                d       : in  std_logic_vector(23 downto 0);
                n_reset : in  std_logic;
                silent  : out std_logic;
                valid   : out std_logic;
                dbg     : out std_logic
                );
    end component;

    signal spdif :
        std_logic;
    signal clk :
        std_logic;
    signal d        : std_logic_vector(26 downto 0);
    signal sos      : std_logic;
    signal valid    : std_logic;
    signal silent   : std_logic;
    signal mute_buf : std_logic;

begin
    div1 : divider port map (
        n_reset => n_reset,
        clk     => clk_in,
        divisor => divisor,
        clk_out => clk
        );

    b :
        ccd port map (
            n_reset => n_reset,
            clk     => clk,
            d       => spdif_in,
            q       => spdif
            );

    dec : spdif_decoder port map (
        n_reset   => n_reset,
        clk       => clk,
        spdif     => spdif,
        d         => d,
        sos       => sos,
        bmc_ready => dbg(2)
        );

    sd : silence_detector port map(
        n_reset => n_reset,
        clk     => clk,
        sos     => sos,
        d       => d(26 downto 3),

        silent_thresh => silent_thresh,
        valid_thresh  => valid_thresh,
        valid_divisor => valid_divisor,

        silent => silent,
        valid  => valid,
        dbg    => dbg(7)
        );


    dbg(0) <= clk;
    dbg(1) <= spdif;
    dbg(3) <= sos;
    dbg(4) <= silent;
    dbg(5) <= valid;
    dbg(6) <= mute_buf;

    mute_buf <= silent or (not valid);

    mute <= mute_buf;

end rtl;