From 197c9ef49989a2900688e19a7e66e3b5eae08b2f Mon Sep 17 00:00:00 2001 From: root Date: Thu, 17 May 2018 18:20:05 +0100 Subject: only mute if all mute --- spdif.vhd | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) (limited to 'spdif.vhd') diff --git a/spdif.vhd b/spdif.vhd index e3016a1..0339bad 100644 --- a/spdif.vhd +++ b/spdif.vhd @@ -118,7 +118,7 @@ begin mute => mute3 ); - mute <= mute1 or mute2 or mute3; + mute <= mute1 and mute2 and mute3; n_mute_out <= not mute; n_stby_out <= not mute; -- cgit v1.2.3