From 0780df86a9ec88bf8810f7fef1d241030dc1b655 Mon Sep 17 00:00:00 2001 From: fishsoupisgood Date: Thu, 17 May 2018 09:17:21 +0100 Subject: first version for rob - supports only 44.1kHz --- spdif.qsf | 53 +++++++++++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 53 insertions(+) create mode 100644 spdif.qsf (limited to 'spdif.qsf') diff --git a/spdif.qsf b/spdif.qsf new file mode 100644 index 0000000..b57bdf8 --- /dev/null +++ b/spdif.qsf @@ -0,0 +1,53 @@ +# + +set_global_assignment -name FAMILY "Cyclone II" +set_global_assignment -name DEVICE EP2C5T144C8 +set_global_assignment -name TOP_LEVEL_ENTITY spdif +set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1" +set_global_assignment -name PROJECT_CREATION_TIME_DATE "14:33:12 MAY 03, 2018" +set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top + +set_location_assignment PIN_3 -to n_leds[0] +set_location_assignment PIN_7 -to n_leds[1] +set_location_assignment PIN_9 -to n_leds[2] +set_instance_assignment -name OUTPUT_PIN_LOAD 100 -to n_leds[0] +set_instance_assignment -name OUTPUT_PIN_LOAD 100 -to n_leds[1] +set_instance_assignment -name OUTPUT_PIN_LOAD 100 -to n_leds[2] +set_location_assignment PIN_17 -to xtal_50mhz +set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" +set_location_assignment PIN_143 -to spdif_in +set_location_assignment PIN_73 -to n_rst_in + +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to spdif_in +set_location_assignment PIN_112 -to n_mute_out +set_location_assignment PIN_114 -to n_stby_out +set_location_assignment PIN_41 -to dbg1 +set_location_assignment PIN_101 -to dbg2 +set_global_assignment -name VHDL_FILE ccd.vhd +set_global_assignment -name VHDL_FILE clock_recovery.vhd +set_global_assignment -name VHDL_FILE counter.vhd +set_global_assignment -name VHDL_FILE dflipflop.vhd +set_global_assignment -name VHDL_FILE pll100.vhd +set_global_assignment -name VHDL_FILE pll200.vhd +set_global_assignment -name VHDL_FILE spdif.vhd +set_global_assignment -name VHDL_FILE bmc_decoder.vhd +set_global_assignment -name VHDL_FILE spdif_decoder.vhd +set_global_assignment -name SOURCE_FILE db/spdif.cmp.rdb +set_global_assignment -name SDC_FILE spdif.sdc + +set_location_assignment PIN_103 -to dbg3 +set_location_assignment PIN_104 -to dbg4 +set_location_assignment PIN_113 -to dbg5 +set_location_assignment PIN_115 -to dbg6 +set_location_assignment PIN_118 -to dbg7 +set_location_assignment PIN_119 -to dbg8 +set_global_assignment -name USE_CONFIGURATION_DEVICE ON +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS OUTPUT DRIVING GROUND" -- cgit v1.2.3