summaryrefslogtreecommitdiffstats
path: root/silence_detector.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'silence_detector.vhd')
-rw-r--r--silence_detector.vhd4
1 files changed, 2 insertions, 2 deletions
diff --git a/silence_detector.vhd b/silence_detector.vhd
index 14cc72e..820beed 100644
--- a/silence_detector.vhd
+++ b/silence_detector.vhd
@@ -53,7 +53,7 @@ begin
pulse_out => interval
);
- process (last_d, d, clk, sos, silent_thresh, silence)
+ process (last_d, d, clk, sos, silent_thresh, silence, n_reset)
begin
if n_reset = '0' then
silence <= (others => '0');
@@ -74,7 +74,7 @@ begin
end if;
end process;
- process (clk, sos, interval, valid_thresh, validity)
+ process (clk, sos, interval, valid_thresh, validity, n_reset)
begin
if n_reset = '0' then
validity <= (others => '0');