summaryrefslogtreecommitdiffstats
path: root/pll200.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'pll200.vhd')
-rw-r--r--pll200.vhd2
1 files changed, 1 insertions, 1 deletions
diff --git a/pll200.vhd b/pll200.vhd
index ab2858f..142cd94 100644
--- a/pll200.vhd
+++ b/pll200.vhd
@@ -144,7 +144,7 @@ BEGIN
clk0_phase_shift => "0",
compensate_clock => "CLK0",
gate_lock_signal => "NO",
- inclk0_input_frequency => 41666,
+ inclk0_input_frequency => 20000,
intended_device_family => "Cyclone II",
invalid_lock_multiplier => 5,
lpm_hint => "CBX_MODULE_PREFIX=pll200",