From bc832d6d342922a828aebb997d1d9c6626898487 Mon Sep 17 00:00:00 2001 From: root Date: Tue, 1 Dec 2015 10:51:56 +0000 Subject: fish --- app/main.c | 1 + 1 file changed, 1 insertion(+) (limited to 'app/main.c') diff --git a/app/main.c b/app/main.c index 4f8f6f7..8c51c90 100644 --- a/app/main.c +++ b/app/main.c @@ -20,6 +20,7 @@ main (void) rcc_periph_clock_enable (RCC_GPIOC); rcc_periph_clock_enable (RCC_AFIO); + ticker_init (); led_init (); #ifndef SLIM -- cgit v1.2.3