read_verilog -sv param_int_types.sv proc equiv_make gold gate equiv equiv_simple equiv_status -assert