read_verilog -sv package_task_func.sv proc opt -full sat -verify -seq 1 -prove-asserts -show-all