read_verilog -sv genvar_loop_decl_3.sv proc equiv_make gold gate equiv equiv_simple equiv_status -assert