logger -expect error "Generate for loop inline variable declaration is only supported in SystemVerilog mode!" 1 read_verilog <