logger -expect error "Generate for loop variable declaration is missing initialization!" 1 read_verilog -sv <