logger -expect error "Cannot declare module port `\\x' within a generate block\." 1 read_verilog <